Multizone Chamber Patents (Class 118/719)
  • Patent number: 8961745
    Abstract: The plant is suitable to produce a semiconductor film (8) having a desired thickness and consisting substantially of a compound including at least one element for each of the groups 11, 13, and 16 of the periodic classification of elements. The plant comprises an outer case (1) embedding a chamber (2) divided into one deposition zone (2a) and one evaporation zone (2b), which are separated by a screen (3) interrupted by at least one cylindrical transfer member provided with actuation means rotating about its axis (5). To the deposition zone (2a) a magnetron device (7) is associated, for the deposition by sputtering of at least one element for each of the groups 11 and 13 on the side surface (?) of the cylindrical member that is in the deposition zone (2a). To the evaporation zone (2b) a cell (10) for the evaporation of at least one element of the group 16 is associated, and such an evaporation zone (2b) houses a substrate (8a) on which the film (8) is produced.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: February 24, 2015
    Assignee: VOLTASOLAR S.r.l.
    Inventors: Maurizio Filippo Acciarri, Simona Olga Binetti, Leonida Miglio, Maurilio Meschia, Raffaele Moneta, Stefano Marchionna
  • Patent number: 8962495
    Abstract: A film deposition method includes a first step and a second step. In the first step, a first reaction gas is supplied from a first gas supply part to a first process area, and a second reaction gas capable of reacting with the first reaction gas is supplied from a second gas supply part to a second process area, while rotating a turntable and supplying a separation gas to separate the first process area and the second process area from each other. In the second step, the second reaction gas is supplied from the second gas supply part to the second process area without supplying the first reaction gas from the first gas supply part for a predetermined period, while rotating the turntable and supplying the separation gas to separate the first process area and the second process area from each other.
    Type: Grant
    Filed: June 12, 2013
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Ikegawa, Masahiko Kaminishi, Yoshinobu Ise, Jun Ogawa
  • Patent number: 8961690
    Abstract: A process of coating at least one substrate with a plurality of deposition sources, a method of tooling, a carrier unit and a deposition system are described. The systems and methods provide for or allow for exposing a first substrate portion 112a of said at least one substrate 112 to a first deposition source 118a through an aperture 122 of a carrier unit 110, 510, depositing a first layer 126a over the first substrate portion, said first layer including material from said first deposition source, varying a relative position between said at least one substrate and said aperture for exposing a second substrate portion of said at least one substrate, or another substrate, to a second deposition source, and depositing a second layer 126b over the second substrate portion 112b, said second layer including material from said second deposition source.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: February 24, 2015
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Uwe Hoffmann, Jose Manuel Dieguez-Campo
  • Patent number: 8961688
    Abstract: Disclosed are a method and a device for plasma treating workpieces (5). Said workpiece is inserted into a chamber (7) of a treatment station (3), which can be at least partly evacuated, and is positioned within the treatment station by means of a holding element. In order to simultaneously supply at least two chambers with at least one operating means, a flow of the operating means is branched at least once so as to form at least two partial flows (55).
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: February 24, 2015
    Assignee: KHS Corpoplast GmbH
    Inventors: Michael Lizenberg, Frank Lewin, Hartwig Müller, Klaus Vogel, Gregor Arnold, Stephan Behle, Andreas Lüttringhaus-Henkel, Matthias Bicker, Jürgen Klein, Marten Walther
  • Patent number: 8961691
    Abstract: A disclosed film deposition apparatus includes a susceptor having in one surface a substrate receiving portion provided rotatably in a chamber; a heating unit including plural independently controllable heating portions, thereby heating the susceptor; a first reaction gas supplying portion for supplying a first reaction gas; a second reaction gas supplying portion for supplying a second reaction gas; a separation area between a first process area where the first reaction gas is supplied and a second process area where the second reaction gas is supplied, the separation area including a separation gas supplying portion for supplying a first separation gas in the separation area, and a ceiling surface opposing the one surface to produce a thin space; a center area having an ejection hole for ejecting a second separation gas along the one surface; and an evacuation opening for evacuating the chamber.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: February 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Kazuteru Obara, Manabu Honma
  • Patent number: 8956456
    Abstract: Apparatus for atomic layer deposition on a surface of a sheeted substrate, comprising: an injector head comprising a deposition space provided with a precursor supply and a precursor drain; said supply and drain arranged for providing a precursor gas flow from the precursor supply via the deposition space to the precursor drain; the deposition space in use being bounded by the injector head and the substrate surface; a gas bearing comprising a bearing gas injector, arranged for injecting a bearing gas between the injector head and the substrate surface, the bearing gas thus forming a gas-bearing; a conveying system providing relative movement of the substrate and the injector head along a plane of the substrate to form a conveying plane along which the substrate is conveyed.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: February 17, 2015
    Assignee: Nederlandse Organisatie voor toegepast-natuurwetenschappelijk onderzoek TNO
    Inventors: Adrianus Johannes Petrus Maria Vermeer, Gabi P. Janssen
  • Publication number: 20150040970
    Abstract: An inline vacuum deposition system contains thermal source pairs configured in adjacent deposition zones. Dopant sources allow the electrical characteristics of the sequentially formed layers to be controlled for a preferred deposition growth profile.
    Type: Application
    Filed: August 5, 2014
    Publication date: February 12, 2015
    Applicant: First Solar, Inc.
    Inventors: Raffi Garabedian, Roger Malik, Jeremy Theil, Jigish Trivedi, Ming Yu
  • Patent number: 8951347
    Abstract: A film deposition apparatus is provided with a gas nozzle in which ejection holes that eject a reaction gas are formed along a longitudinal direction of the gas nozzle, and a flow regulation member that protrudes from the gas nozzle in either one of upstream and downstream directions of a rotation direction of a turntable. In such a configuration, a separation gas flowing from an upstream side of the rotation direction to the gas nozzle is restricted from flowing between the gas nozzle and the turntable on which a substrate is placed, and the reaction gas flowing upward from the turntable is restricted by the separation gas, thereby impeding a reaction gas concentration in a process area from being lowered.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: February 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Yasushi Takeuchi
  • Publication number: 20150037929
    Abstract: Provided are a substrate treating apparatus and method of manufacturing a phase-change layer having superior deposition characteristics. The substrate treating method of manufacturing a phase-change memory includes forming a bottom electrode on a substrate on which a pattern is formed, performing surface treating for removing impurities generated or remaining on a surface of the substrate while the bottom electrode is formed, performing nitriding on the surface of the substrate from which the impurities are removed, and successively depositing a phase-change layer and a top electrode on the bottom electrode.
    Type: Application
    Filed: October 30, 2012
    Publication date: February 5, 2015
    Inventors: Ju Hwan Park, Dong Ho Ryu, Byung Chul Cho
  • Patent number: 8945308
    Abstract: The present invention relates to a cluster tool for processing semiconductor substrates. One embodiment of the present invention provides a mainframe for a cluster tool comprising a transfer chamber having a substrate transferring robot disposed therein. The substrate transferring robot is configured to shuttle substrates among one or more processing chambers directly or indirectly connected to the transfer chamber. The mainframe further comprises a shutter disk shelf configured to store one or more shutter disks to be used by the one or more processing chambers, wherein the shutter disk shelf is accessible to the substrate transferring robot so that the substrate transferring robot can transfer the one or more shutter disks between the shutter disk shelf and the one or more processing chambers directly or indirectly connected to the transfer chamber.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: February 3, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Jason Schaller
  • Patent number: 8945340
    Abstract: A plasma processing apparatus includes a processing chamber that converts a processing gas introduced from a gas supply source into plasma and performs plasma processing on a target object, an exhaust chamber that communicates with the inside of the processing chamber to exhaust a gas converted into plasma from the processing chamber, and a blocking cover that is provided in the exhaust chamber to block communication between the inside of the processing chamber and the inside of the exhaust chamber.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Masashi Saito
  • Patent number: 8944077
    Abstract: A disclosed film deposition apparatus includes a susceptor provided rotatably in a chamber; a substrate receiving portion provided in one surface of the susceptor, for receiving a substrate; a reaction gas supplying member configured to supply a reaction gas to the one surface of the susceptor; a cleaning member including: a first concave member that is provided above the susceptor and open toward the one surface, thereby defining a space of an inverted concave shape, a second concave member provided over the first concave member to define a gas passage between the first concave member and the second concave member, a cleaning gas supplying portion configured to supply a cleaning gas to the space, and an evacuation pipe configured to be in gaseous communication with the gas passage and extend out from the chamber; and an evacuation opening provided in the chamber in order to evacuate the chamber.
    Type: Grant
    Filed: November 13, 2009
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma
  • Publication number: 20150027372
    Abstract: A vapor deposition apparatus to form stacked thin films on discrete photovoltaic module substrates conveyed in a continuous non-stop manner through said apparatus is provided. The apparatus includes a first sublimation compartment positioned over a first deposition area of said apparatus and a second sublimation compartment positioned over a second deposition area of said apparatus. The first sublimation compartment is configured to heat a first source material therein to sublimate the first source material into first source material vapors. A movable first shutter plate within the first sublimation compartment is configured to control the flow rate of the first source material vapors therethrough. Similarly, the second sublimation compartment is configured to heat a second source material therein to sublimate the second source material into second source material vapors, and includes a movable first shutter plate configured to control the flow rate of the second source material vapors therethrough.
    Type: Application
    Filed: July 26, 2013
    Publication date: January 29, 2015
    Applicant: First Solar, Inc.
    Inventor: Christopher Rathweg
  • Publication number: 20150030771
    Abstract: Electronic device processing systems including cobalt deposition are described. One system includes a mainframe having a transfer chamber and at least two facets, and one or more process chambers adapted to carry out a metal reduction or metal oxide reduction process and possibly an annealing processes on substrates, and one or more deposition process chambers adapted to carry out a cobalt deposition process. Other systems includes a transfer chamber, one or more load lock process chambers coupled to the transfer chamber that are adapted to carry out a metal reduction or metal oxide reduction process. Additional methods and systems for cobalt deposition processing of substrates are described, as are numerous other aspects.
    Type: Application
    Filed: July 22, 2014
    Publication date: January 29, 2015
    Inventors: Avgerinos V. Gelatos, Bhushan Zope, Bo Zheng
  • Publication number: 20150021775
    Abstract: A method for manufacturing a semiconductor device for forming a metal element-containing layer on an insulating layer in which a concave portion is formed, includes: forming an oxide layer including mainly an oxide of the metal element on the insulating layer including the concave portion; and forming a silicate layer including mainly a silicate of the metal element by making the oxide layer into silicate by annealing under a reducing atmosphere.
    Type: Application
    Filed: October 9, 2014
    Publication date: January 22, 2015
    Inventors: Kenji MATSUMOTO, Tatsufumi HAMADA, Kaoru MAEKAWA
  • Publication number: 20150017813
    Abstract: A semiconductor device manufacturing method that includes: forming a gate insulating film containing a hafnium oxide and a zirconium oxide on a workpiece having a source, a drain and a channel; and subjecting the gate insulating film to a crystallization heat treatment at a temperature of 600 degrees C. or less is provided. The gate insulating film subjected to the crystallization heat treatment has a relative permittivity of 27 or more.
    Type: Application
    Filed: October 2, 2014
    Publication date: January 15, 2015
    Inventors: Koji AKIYAMA, Hirokazu HIGASHIJIMA, Chihiro TAMURA, Shintaro AOYAMA, Yu WAMURA
  • Publication number: 20150013606
    Abstract: A film forming system includes: a treatment station that performs a predetermined treatment on a substrate; and a transfer-in/out station configured to retain a plurality of substrates and transfer the substrates in/out from/to the treatment station. The treatment station includes: a coating apparatus that applies a coating solution onto a front surface of the substrate to form a containing film; a thermal treatment apparatus that thermally treats the substrate on which the coating film has been formed; a grinding apparatus that grinds the coating film on the front surface of the substrate which has been thermally treated; a cleaning apparatus that cleans the substrate whose coating film has been ground; and a transfer region for transferring the substrate.
    Type: Application
    Filed: June 24, 2014
    Publication date: January 15, 2015
    Inventor: Takashi TERADA
  • Patent number: 8932405
    Abstract: A reactor arrangement for layer deposition on a plurality of substrates (hereafter substrates) comprising a first reactor chamber for simultaneous cleaning the substrates, at least one second reactor chamber for depositing at least one layer on each of the substrates, a first heating device for setting the substrate temperature of the substrates in the first reactor chamber, a second heating device for setting the substrate temperature of the substrates in the second reactor chamber, a device for producing a gas atmosphere of predetermined composition and predetermined pressure, a transport device for transporting the substrates simultaneously from the first to the second reactor chamber, and a control device for controlling the heating devices and device for producing the gas atmosphere in such a way that the substrates are moved or stored in an interruption-free manner in a reducing gas atmosphere as long as the substrate temperature is above critical temperature Tc.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: January 13, 2015
    Assignee: IHP GmbH—Innovations for High Performance Microelectronics/Institut fur Innovative Mikroelektronik
    Inventors: Thomas Grabolla, George Ritter, Bernd Tillack
  • Patent number: 8926806
    Abstract: The present disclosure is directed to a physical vapor deposition system configured to heat a semiconductor substrate or wafer. In some embodiments the disclosed physical vapor deposition system comprises at least one heat source having one or more lamp modules for heating of the substrate. The lamp modules may be separated from the substrate by a shielding device. In some embodiments, the shielding device comprises a one-piece device or a two piece device. The disclosed physical vapor deposition system can heat the semiconductor substrate, reflowing a metal film deposited thereon without the necessity for separate chambers, thereby decreasing process time, requiring less thermal budget, and decreasing substrate damage.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: January 6, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ming-Chin Tsai, Bo-Hung Lin, You-Hua Chou, Chung-En Kao
  • Patent number: 8926789
    Abstract: An apparatus generating a plasma for removing fluorinated polymer from a substrate is provided. The apparatus includes a powered electrode assembly, which includes a powered electrode, a first dielectric layer, and a first wire mesh disposed between the powered electrode and the first dielectric layer. The apparatus also includes a grounded electrode assembly disposed opposite the powered electrode assembly so as to form a cavity wherein the plasma is generated. The first wire mesh is shielded from the plasma by the first dielectric layer when the plasma is present in the cavity, which has an outlet at one end for providing the plasma to remove the fluorinated polymer.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: January 6, 2015
    Assignee: Lam Research Corporation
    Inventors: Hyungsuk Alexander Yoon, John Boyd, Andras Kuthi, Andrew D. Bailey, III
  • Patent number: 8927912
    Abstract: A sealant curing apparatus is disclosed. In one embodiment, the apparatus includes a processing object panel, a panel supporting unit supporting the processing object panel and a voltage applying unit including a first electrode and a second electrode positioned on the panel supporting unit via the processing object panel interposed therebetween and having different polarities. The processing object panel includes: i) a conductive layer pattern including a heating unit that includes a lattice (grid) pattern, a connecting unit coupled to the first electrode and the second electrode, and a coupling unit connecting the heating unit and the connecting unit and ii) a sealant formed according to the heating unit.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: January 6, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Beong-Ju Kim, Sung-Chul Kim
  • Patent number: 8920562
    Abstract: An object is to improve use efficiency of an evaporation material, to reduce manufacturing cost of a light-emitting device, and to reduce manufacturing time needed for a light-emitting device including a layer containing an organic compound. The pressure of a film formation chamber is reduced, a plate is rapidly heated by heat conduction or heat radiation by using a heat source, a material layer on a plate is vaporized in a short time to be evaporated to a substrate on which the material layer is to be formed (formation substrate), and then the material layer is formed on the formation substrate. The area of the plate that is heated rapidly is set to have the same size as the formation substrate and film formation on the formation substrate is completed by one application of heat.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: December 30, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hisao Ikeda, Tomoya Aoyama, Takahiro Ibe, Yoshiharu Hirakata, Shunpei Yamazaki
  • Publication number: 20140374024
    Abstract: Embodiments of an apparatus for removing particles from a twin chamber processing system are provided herein. In some embodiments, an apparatus for removing particles from a twin chamber processing system includes a remote plasma system; and a plurality of conduits fluidly coupling the remote plasma system to each process chamber of a twin chamber processing system to provide a plasma to an exhaust volume of each process chamber, wherein each conduit of the plurality of conduits has an outlet disposed along a boundary of the respective exhaust volumes.
    Type: Application
    Filed: August 8, 2013
    Publication date: December 25, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ANDREW NGUYEN, TOM K. CHO, KARTIK RAMASWAMY, YOGANANDA SARODE VISHWANATH
  • Publication number: 20140377458
    Abstract: Provided is a technique for effectively producing a lithium-ion secondary battery which exhibits a stable cell performance, and has a high degree of freedom of choice of its shape, an improved output density and a reduced size, at a reduced cost. A lithium-ion secondary battery having a laminar body wherein a positive electrode layer and a negative electrode layer are laminated on respective opposite surfaces of a solid electrolyte layer is produced by: forming a first vapor-deposited polymer film while introducing a positive electrode active substance into the first vapor-deposited polymer film, to form the positive electrode layer; forming a second vapor-deposited polymer film while introducing a negative electrode active substance into the second vapor-deposited polymer film, to form the negative electrode layer; and forming a third vapor-deposited polymer film while introducing a lithium-ion conductivity rendering substance into the third vapor-deposited polymer film, to form the solid electrolyte layer.
    Type: Application
    Filed: September 9, 2014
    Publication date: December 25, 2014
    Inventor: Masumi NOGUCHI
  • Publication number: 20140374904
    Abstract: The present disclosure provides a semiconductor device, including: an insulation layer and a wiring line layer, the wiring line layer including a wiring line having a line width and a line height, at least one of which is 15 nm or less, and containing Ni or Co as a main component thereof. In another embodiment, there is provided a semiconductor device manufacturing method for manufacturing a semiconductor device including an insulation layer and a wiring line layer, including: forming the wiring line layer on the insulation layer, the wiring line layer including a wiring line having a line width and a line height, at least one of which is 15 nm or less, and containing Ni or Co as a main component thereof.
    Type: Application
    Filed: September 5, 2014
    Publication date: December 25, 2014
    Inventors: Kenji MATSUMOTO, Kaoru MAEKAWA, Hiroaki KAWASAKI, Tatsufumi HAMADA
  • Publication number: 20140377964
    Abstract: The disclosure relates to an apparatus for oxidation and annealing processes comprising: a chamber; an oxidizing unit located in the chamber, where an oxidizing process for a subject to be processed is conducted; and an annealing unit located in the chamber, where an annealing process for the subject to be processed is conducted. Further, The disclosure relates to a method for the oxidation and annealing processes comprising: preparing a chamber comprising an oxidizing unit and an annealing unit; preparing a subject to be processed on a susceptor located in the oxidizing unit; oxidizing the subject to be processed; converting atmosphere of the oxidizing unit; transferring the subject to be processed to the annealing unit; and annealing the subject to be processed.
    Type: Application
    Filed: August 10, 2012
    Publication date: December 25, 2014
    Applicant: LG INNOTEK CO., LTD.
    Inventors: Seon Heo, Chang Hyun Son
  • Patent number: 8916000
    Abstract: A system for producing carbon nanotubes includes a reaction chamber in which a process is performed for producing a carbon nanotube on a synthetic substrate; a station part disposed at one side of the reaction chamber and provided with a first transporter for loading/unloading the synthetic substrate to/from the reaction chamber; a first transporter installed inside the station part for loading/unloading synthetic substrates to/from the reaction chamber; a substrate accommodating part in which a substrate to be loaded to the reaction chamber is accommodated or a synthetic substrate unloaded from the reaction chamber waits; a retrieve part for drawing out a synthetic substrate from the substrate accommodating part to retrieve a carbon nanotube produced on the synthetic substrate; a catalyst coating unit configured for coating a synthetic substrate with a catalyst before the synthetic substrate is accommodated in the substrate accommodating part of the station part; and a second transporter for transporting a s
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: December 23, 2014
    Assignee: Korea Kumho Petrochemical Co., Ltd.
    Inventors: Sung-Soo Kim, Ho-Soo Hwang, Hyung-Seok Kim, Suk-Won Jang, Suk-Min Choi
  • Publication number: 20140366805
    Abstract: A system or apparatus for forming a conductive pattern on a substrate (208) includes a thermal imaging head (220) that forms an image pattern on the substrate. A functional material (240) spraying element (224) applies a functional material on the substrate which bonds with the image pattern. The spraying element is integrated in the thermal imaging head. An electro-less deposition element is applied using the electro-less deposition element on the substrate to enhance the functionality of the final product.
    Type: Application
    Filed: June 14, 2013
    Publication date: December 18, 2014
    Inventor: Israel Schuster
  • Publication number: 20140366806
    Abstract: The present invention relates to a film formation apparatus for forming thin films having high gas barrier performance, such as a DLC (Diamond Like Carbon) film, SiOx film, SiOC film, SiOCN film, SiNx film, and AIOx film, on the inner surface and/or outer surface of containers such as PET bottles. The film formation apparatus is provided with: a vacuum chamber for forming, in a vacuum state, a film on a surface of a container (4) using a heat generating element (21); a vacuum evacuation device for vacuumizing the vacuum chamber; and a relative shifting device for relatively shifting the container (4) and the heat generating element (21) in the vacuum chamber after starting vacuumization of the vacuum chamber.
    Type: Application
    Filed: December 26, 2012
    Publication date: December 18, 2014
    Applicant: KIRIN BEER KABUSHIKI KAISHA
    Inventors: Hiroyuki Ooshima, Keiichi Fujimoto, Hiroyasu Tabuchi, Masaki Nakaya
  • Patent number: 8911554
    Abstract: A method and apparatus for processing multiple substrates simultaneously is provided. Each substrate may have two major active surfaces to be processed. The apparatus has a substrate handling module and a substrate processing module. The substrate handling module has a loader assembly, a flipper assembly, and a factory interface. Substrates are disposed on a substrate carrier at the loader assembly. The flipper assembly is used to flip all the substrates on a substrate carrier in the event two-sided processing is required. The factory interface positions substrate carriers holding substrates for entry into and exit from the substrate processing module. The substrate processing module comprises a load-lock, a transfer chamber, and a plurality of processing chambers, each configured to process multiple substrates disposed on a substrate carrier.
    Type: Grant
    Filed: January 4, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, Jose Antonio Marin
  • Patent number: 8911826
    Abstract: A method of parallel shift operation of multiple reactors includes: (i) continuously supplying n gases numbered 1 to n simultaneously to n gas ports via n main gas lines, respectively, at a constant flow rate, wherein one of branch gas lines of each main gas line is in an open state so that the inflow rates of the respective reactors are equal, and the outflow rates of the respective reactors are equal; and (ii) while maintaining the inflow rates and the outflow rates of the respective reactors, simultaneously closing the one of the branch gas lines of each main gas line in the open state and opening another one of the branch gas lines of each main gas line so that different numbered gases are continuously supplied to the respective reactors in parallel without changing the inflow rates and the outflow rates of the reactors.
    Type: Grant
    Filed: August 2, 2012
    Date of Patent: December 16, 2014
    Assignee: ASM IP Holding B.V.
    Inventors: Wataru Adachi, Jeongsok Ha
  • Patent number: 8900366
    Abstract: A tool for depositing multilayer coatings onto a substrate. In one configuration, the tool includes a includes an in-line organic material deposition station operating under at least one of a pressure or temperature controlled environment. In another, it further is of a hybrid design that incorporates both in-line and cluster tool features. In this latter configuration, at least one of the deposition stations is configured to deposit an inorganic layer, while at least one other deposition station is configured to deposit an organic layer. The tool is particularly well-suited to depositing multilayer coatings onto discrete substrates, as well as to encapsulating environmentally-sensitive devices placed on the flexible substrate.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: December 2, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Martin Philip Rosenblum, Xi Chu, Lorenza Moro, Kenneth Jeffrey Nelson, Paul Burrows, Mark E. Gross, Mac R. Zumhoff, Peter M. Martin, Charles C. Bonham, Gordon L. Graff
  • Patent number: 8900368
    Abstract: The invention relates to a device and a method for continuous chemical vapor deposition under atmospheric pressure on substrates. The device is hereby based on a reaction chamber, along the open sides of which the substrates are guided, as a result of which the corresponding coatings can be effected on the side of the substrates which is orientated towards the chamber interior.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: December 2, 2014
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung E.V.
    Inventors: Stefan Reber, Albert Hurrle, Norbert Schillinger
  • Patent number: 8900363
    Abstract: An inline vacuum processing apparatus includes a deposition unit, a process execution unit, a determination unit, and a control unit. The deposition unit causes one deposition chamber of a first deposition chamber and a second deposition chamber to execute a deposition process. The process execution unit causes the other deposition chamber to execute a process necessary for the deposition process. The determination unit measures the number of substrates processed in one deposition chamber and determines whether all substrates included in a first lot have undergone the deposition process. The control unit switches, based on a determination result from the determination unit, a process to be executed in each of the first deposition chamber and the second deposition chamber.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: December 2, 2014
    Assignee: Canon Anelva Corporation
    Inventor: Masahiro Kawata
  • Publication number: 20140349430
    Abstract: A deposition apparatus includes a first nozzle configured to spray a first deposition material toward a substrate and a second nozzle configured to spray a second deposition material, a first deposition source configured to supply the first deposition material to the first nozzle and a second deposition source configured to supply the second deposition material to the second nozzle. The deposition apparatus further includes a barrier member disposed between the first nozzle and the second nozzle and is configured to block the first deposition material evaporated through the first nozzle from being mixed with the second deposition material evaporated through the second nozzle and a vacuum chamber configured to surround the first and second nozzles, the first and second deposition sources and the barrier member.
    Type: Application
    Filed: September 30, 2013
    Publication date: November 27, 2014
    Applicant: Samsung Display Co., Ltd.
    Inventor: DONG-CHAN KIM
  • Patent number: 8893642
    Abstract: In various exemplary embodiments described herein, a system and related method to provide airflow management system in a substrate production tool includes a housing to couple the substrate production tool to a fan filter unit to provide filtered air to the housing, a facility connection to couple the substrate production tool to a reduced pressure exhaust mechanism, a substrate transfer section coupled below the housing and in airflow communication with the facility connection, and a substrate process area coupled to the substrate transfer section by one or more substrate transfer slots. A chamber substantially containing the substrate transfer section and the substrate process area is coupled to the housing to receive the filtered air and to the facility connection to provide an exhaust for excess gas flow. The chamber maintains a low pressure in the substrate process area relative to the substrate transfer section.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: November 25, 2014
    Assignee: Lam Research Corporation
    Inventor: Eric H. Lenz
  • Patent number: 8894769
    Abstract: The invention concerns a material evaporation chamber including a vacuum chamber (10), a first pumping unit (13) to pump said chamber and sources of material. According to the invention, a wall (23) liable to provide total or partial vacuum tightness, delineates within this chamber a first volume (25) and a second volume (22). Certain sources of material (17) having a main axis (18) are placed in the second volume (22). This second volume (22) is pumped by a second pumping unit (24). The wall (23) includes recesses (26) which are each centered on the main axis (18) of one of the sources of material (17). The evaporation chamber also comprises means (27) for plugging or clearing each of said recesses (26), said means (27) being controlled individually to protect the sources of material (17) having a main axis (18) unused.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: November 25, 2014
    Assignee: Riber
    Inventors: Catherine Chaix, Alain Jarry, Pierre-André Nutte, Jean-Pierre Locquet, Jean Fompeyrine, Heinz Siegwart
  • Patent number: 8895456
    Abstract: A method of depositing a film of forming a doped oxide film including a first oxide film containing a first element and doped with a second element on substrates mounted on a turntable including depositing the first oxide film onto the substrates by rotating the turntable predetermined turns while a first reaction gas containing the first element is supplied from a first gas supplying portion, an oxidation gas is supplied from a second gas supplying portion, and a separation gas is supplied from a separation gas supplying portion, and doping the first oxide film with the second element by rotating the turntable predetermined turns while a second reaction gas containing the second element is supplied from one of the first and second gas supplying portions, an inert gas is supplied from another one, and the separation gas is supplied from the separation gas supplying portion.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Tachibana, Hiroaki Ikegawa, Yu Wamura, Muneyuki Otani, Jun Ogawa, Kosuke Takahashi
  • Patent number: 8889223
    Abstract: A physical vapor deposition apparatus and a physical vapor deposition method for forming a film of a substance which is hard to be made fine particles even when it is heated by plasma, arc discharge, or the like are provided. It has an evaporation chamber 10 provided inside it with an evaporation source material 15 and a heating part 16 for heating the evaporation source material 15, a powder supply source 20 provided inside it with a powder, and a film forming chamber 30, wherein the evaporation source material 15 is heated by the heating part 16 to produce fine particles (nanoparticles), the fine particles and powder are sprayed out of a supersonic nozzle 35, are placed on a supersonic gas stream, and are deposited on a substrate for film formation 33 by physical vapor deposition.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: November 18, 2014
    Assignee: Tanaka Kikinzoku Kogyo K.K.
    Inventors: Atsushi Yumoto, Naotake Niwa, Fujio Hiroki, Takahisa Yamamoto
  • Patent number: 8888920
    Abstract: The present invention is an imprint system including an imprint unit transferring a transfer pattern to a coating film formed on a substrate using a template having the transfer pattern formed on a front surface thereof to form a predetermined pattern in the coating film, the imprint system including: a substrate carry-in/out station connected to the imprint unit, capable of keeping a plurality of the substrates, and carrying the substrate into/out of the imprint unit side; and a template carry-in/out station connected to the imprint unit, capable of keeping a plurality of the templates, and carrying the template into/out of the imprint unit side at a predetermined timing.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Publication number: 20140335267
    Abstract: The invention relates to a method that includes providing a reaction chamber module of an atomic layer deposition reactor for processing a batch of substrates by an atomic layer deposition process, and loading the batch of substrates before processing into the reaction chamber module via a different route than the batch of substrates is unloaded after processing. The invention also relates to a corresponding apparatus.
    Type: Application
    Filed: November 22, 2011
    Publication date: November 13, 2014
    Applicant: PICOSUN OY
    Inventors: Sven Lindfors, Pekka J Soininen
  • Patent number: 8882915
    Abstract: A rotation table on which a wafer is placed is rotated around a vertical axis in order to supply to an upper surface of the wafer a first reaction gas for allowing the first reaction gas to be adsorbed on the upper surface, an auxiliary gas that reacts with the first reaction gas to produce an intermediate product having reflowability, and a second reaction gas that is reacted with the intermediate product to produce a reaction product in this order; and the reaction product is heated by a heating lamp in order to densify the reaction product.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: November 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Hiroyuki Kikuchi
  • Patent number: 8882918
    Abstract: A vapor deposition apparatus (50) includes: a mask unit (54) including a vapor deposition source (70), a vapor deposition mask (60), and a mask holding member (80); a substrate holder (52); and at least either a mask unit moving mechanism (55) or a substrate moving mechanism (53), with a roller (83) provided in a surface of one of (A) the substrate holder (52) and (B) the mask holding member (80) which faces the other one of (A) the substrate holder (52) and (B) the mask holding member (80).
    Type: Grant
    Filed: September 26, 2011
    Date of Patent: November 11, 2014
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Shinichi Kawato, Satoshi Inoue, Tohru Sonoda
  • Patent number: 8882914
    Abstract: Substrate processing systems and methods are described for processing substrates having two or more regions. The processing includes one or more of molecular self-assembly and combinatorial processing. At least one of materials, processes, processing conditions, material application sequences, and process sequences is different for the processing in at least one region of the substrate relative to at least one other region of the substrate. Processing systems are described that include numerous processing modules. The modules include a site-isolated reactor (SIR) configured for one or more of molecular self-assembly and combinatorial processing of a substrate.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: November 11, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, David E. Lazovsky, Sandra G. Malhotra
  • Patent number: 8882916
    Abstract: In an disclosed film deposition method, after a film deposition-alteration step is carried out that includes a film deposition process where a Si containing gas is adsorbed on a wafer W and the adsorbed Si containing gas on the wafer is oxidized by supplying an O3 gas to the upper surface of the wafer, thereby producing a silicon oxide layer(s) by rotating a turntable on which the wafer is placed, and an alteration process where the silicon oxide layers) is altered by plasma, an alteration step where the silicon oxide layer(s) is altered by plasma while the Si containing gas is not supplied.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: November 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Kumagai, Hitoshi Kato
  • Publication number: 20140326182
    Abstract: A processing system includes a first processing module that includes a first chamber; and a first processing source that can deposit a first material on a web substrate. An isolation module includes an isolation chamber, and one or more segregation walls that define a sequence of compartments in the isolation chamber. The first chamber is connected to a first compartment in the sequence of compartments. Each of the segregation walls includes an opening to allow the web substrate to pass through. A second processing module includes a second chamber in connection with a last compartment in the sequence of compartments in the isolation module, and a second processing source configured to deposit a second material on the web substrate. A transport mechanism moves the web substrate continuously through the first processing module, the isolation module, and the second processing module.
    Type: Application
    Filed: April 11, 2014
    Publication date: November 6, 2014
    Applicant: Areesys Corporation
    Inventors: Kai-An Wang, Michael Z. Wong
  • Publication number: 20140318450
    Abstract: A system for processing a semiconductor substrate is provided. The system includes a mainframe having a plurality of modules attached thereto. The modules include processing modules, storage modules, and transport mechanisms. The processing modules may include combinatorial processing modules and conventional processing modules, such as surface preparation, thermal treatment, etch and deposition modules. In one embodiment, at least one of the modules stores multiple masks. The multiple masks enable in-situ variation of spatial location and geometry across a sequence of processes and/or multiple layers of a substrate to be processed in another one of the modules. A method for processing a substrate is also provided.
    Type: Application
    Filed: July 8, 2014
    Publication date: October 30, 2014
    Inventors: Richard R. Endo, Tony P. Chiang, James Tsung
  • Publication number: 20140319544
    Abstract: A method for fabricating an epi wafer according to the embodiment comprises depositing an epi layer on a wafer in a first chamber; transferring the wafer to a second chamber connected to the first chamber; forming a protective layer on the wafer in the second chamber; and cooling the wafer in the second chamber. Further, an apparatus for fabricating an epi wafer according to the embodiment comprises a first chamber comprising an epi deposition part; a second chamber comprising a protective layer forming part and a cooling part; and a wafer transfer apparatus connected to lower portions of the first chamber and the second chamber.
    Type: Application
    Filed: October 24, 2012
    Publication date: October 30, 2014
    Inventor: Min Young Hwang
  • Publication number: 20140322527
    Abstract: A multilayer encapsulation thin-film and a method and apparatus for preparing a multilayer encapsulation thin-film are provided. The multilayer encapsulation thin-film includes an inorganic thin film that includes a metal oxide, and an organic thin film that includes a polymer and is formed on the inorganic thin film, where the inorganic thin film and the organic thin film are alternately stacked in multiple layers.
    Type: Application
    Filed: April 24, 2014
    Publication date: October 30, 2014
    Applicant: RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY
    Inventors: Sungmin Cho, Ho Kyoon Chung, Heeyeop Chae, Sang Joon Seo, Seung Woo Seo
  • Publication number: 20140311573
    Abstract: A method of making a coated substrate having a transparent conductive oxide layer with a dopant selectively distributed in the layer includes selectively supplying an oxide precursor material and a dopant precursor material to each coating cell of a multi-cell chemical vapor deposition coater, wherein the amount of dopant material supplied is selected to vary the dopant content versus coating depth in the resultant coating.
    Type: Application
    Filed: March 7, 2014
    Publication date: October 23, 2014
    Applicant: PPG Industries Ohio, Inc.
    Inventors: James W. McCamy, Peter Tausch, Gary J. Nelis, Ashtosh Ganjoo