Multizone Chamber Patents (Class 118/719)
  • Patent number: 9142415
    Abstract: A deposition apparatus for performing a deposition process on a substrate includes: an injection unit including a plasma generating member which receives a raw material gas and converts the raw material gas to a deposition source material in a radical form; and a plasma processor disposed adjacent to the injection unit and facing a side of the injection unit, wherein the plasma processor performs a plasma process in a direction facing the substrate.
    Type: Grant
    Filed: May 4, 2014
    Date of Patent: September 22, 2015
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Myung-Soo Huh, Suk-Won Jung, Sung-Chul Kim, Sang-Hyuk Hong, Choel-Min Jang
  • Patent number: 9136491
    Abstract: The problem to be solved by the present invention is to prolong the luminance half life of an organic EL element. A means for solving the problem is a method for producing an organic electroluminescent element comprising a first electrode that is formed first, a second electrode that is formed later, and a light-emitting layer that is formed between the first electrode and the second electrode, the method comprising the steps of applying a solution containing a light-emitting organic material to a surface of a layer located below to form an applied film; calcining the applied film in an inert gas atmosphere or in a vacuum atmosphere to form a light-emitting layer; holding the surrounding of the formed light-emitting layer in an inert gas atmosphere or in a vacuum atmosphere; and forming a layer located on the light-emitting layer in an inert gas atmosphere or in a vacuum atmosphere.
    Type: Grant
    Filed: August 14, 2009
    Date of Patent: September 15, 2015
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventor: Masataka Iwasaki
  • Patent number: 9127374
    Abstract: A method for growing an epitaxial film on a surface of a semiconductor wafer by mounting the wafer within a susceptor pocket and supplying source gas and carrier gas to the upper surface side of the susceptor and supplying carrier gas to the lower surface side of the susceptor. The susceptor includes a substantially circular bottom wall and a sidewall encompassing the bottom wall to form a pocket for mounting the wafer, wherein a plurality of circular through-holes are formed in the bottom wall in an outer peripheral region a distance of up to about ½ the radius toward the center of the bottom wall. The total opening surface area of the through-holes is 0.05 to 55% of the surface area of the bottom wall, the opening surface area of each through-hole is 0.2 to 3.2 mm2 and the density of the through-holes is 0.25 to 25 per cm2.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: September 8, 2015
    Assignee: SUMCO CORPORATION
    Inventors: Masayuki Ishibashi, John F. Krueger, Takayuki Dohi, Daizo Horie, Takashi Fujikawa
  • Patent number: 9111976
    Abstract: A transfer system according to an embodiment includes a plurality of robot hands, a storage unit, and an instructing part. The robot hands are operable to hold a thin sheet-like workpiece. The storage unit stores therein speed information that represents a temperature of the workpiece associated with a specified speed of a robot hand that holds the workpiece. The instructing part extracts the specified speed for each robot hand from the speed information and instructs to move all of the robot hands at or lower than a representative speed determined based on a set of extracted specified speed data.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: August 18, 2015
    Assignee: KABUSHIKI KAISHA YASKAWA DENKI
    Inventors: Yoshiki Kimura, Takashi Minami
  • Patent number: 9102109
    Abstract: A method for transferring objects (e.g. ophthalmic or contact lenses) between two consecutive processing stations, e.g. processing stations having differing cycle times or cycle speeds, including removing the objects from a preceding processing station, in which objects are advanced with a first cycle speed, transporting the objects to a subsequent processing station including a plurality of consecutively arranged receptacles (1-10), which are advanced through the subsequent processing station with a second cycle speed faster than the first cycle speed. The objects may be transported via at least one feed tube (12, 13) ending in a respective transfer nozzle (14, 15) arranged in vicinity of a corresponding one of the receptacles (1-10) and which is aligned with an inlet of the receptacle (4, 5; 4, 6). The respective transfer nozzles (14, 15) can be moved synchronously with and in the direction of advancement of corresponding receptacle.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: August 11, 2015
    Assignee: NOVARTIS AG
    Inventors: Swen Herrmann, Roger Biel, Harald Schott
  • Patent number: 9099667
    Abstract: The problem to be solved by the present invention is to prolong the luminance half life of an organic EL element. A means for solving the problem is a method for producing an organic electroluminescent element comprising a first electrode that is formed first, a second electrode that is formed later, and a light-emitting layer that is formed between the first electrode and the second electrode, the method comprising the steps of applying a solution containing a light-emitting organic material to a surface of a layer located below to form an applied film; calcining the applied film in an inert gas atmosphere or in a vacuum atmosphere to form a light-emitting layer; holding the surrounding of the formed light-emitting layer in an inert gas atmosphere or in a vacuum atmosphere; and forming a layer located on the light-emitting layer in an inert gas atmosphere or in a vacuum atmosphere.
    Type: Grant
    Filed: August 14, 2009
    Date of Patent: August 4, 2015
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventor: Masataka Iwasaki
  • Patent number: 9082694
    Abstract: A substrate processing apparatus includes: a processing chamber that accommodates a substrate; a heating portion that is provided so as to surround a accommodating region of the substrate within the processing chamber; a gas nozzle that is provided inside the heating portion and that supplies a processing gas to the accommodating region of the substrate; and a gas heating mechanism that is provided inside the heating portion and that supplies the processing gas from an upstream side of the gas nozzle into the gas nozzle. A ratio of a flow channel circumferential length to a flow channel cross-sectional area in a gas flow channel of the gas heating mechanism is larger than a ratio of a flow channel circumferential length to a flow channel cross-sectional area in a gas flow channel of the gas nozzle.
    Type: Grant
    Filed: February 22, 2012
    Date of Patent: July 14, 2015
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Shuhei Saido, Daisuke Hara, Takafumi Sasaki
  • Patent number: 9080237
    Abstract: A layer depositing device comprises a chamber (10) having a substrate carrier (12) for receiving at least one substrate (13) to be coated, and a process gas space (11), comprising a partition (23) that separates a first segment (21) of the process gas space (11) from a second segment (22) of the process gas space (11). The layer depositing device has a device (44) for moving the substrate (13) relative to the partition (23).
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: July 14, 2015
    Assignee: OSRAM Opto Semiconductors GmbH
    Inventor: Alexander Behres
  • Patent number: 9076977
    Abstract: On the TFT substrate (10), a vapor deposition layer is formed by use of a vapor deposition device (50) which includes (i) a vapor deposition source (85) having injection holes (86) and (ii) a vapor deposition mask (81) having openings (82) through which vapor deposition particles injected from the injection holes (86) are deposited so as to form the vapor deposition layer. The TFT substrate (10) has a plurality of pixels two-dimensionally arranged in a pixel region (AG), and terminals of a plurality of wires (14), which are electrically connected with the plurality of pixels, are gathered outside a vapor deposition layer formation region.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: July 7, 2015
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tohru Sonoda, Shinichi Kawato, Satoshi Inoue, Satoshi Hashimoto
  • Patent number: 9078385
    Abstract: A component mounting method of mounting a component onto a board by a component mounting apparatus which includes a transportation device having a plurality of rails capable of transporting a plurality of boards in parallel is provided. The method includes positioning the rails so that a center of a mounting area in a forward-backward direction is at an intermediate position between corresponding parts of two component supply units, the mounting area covering an area in which two mounting heads mount components on one or more of the boards which are transported by the transportation device, and the intermediate position being equally distant in the forward-backward direction from the two component supply units; and alternately mounting the components in the mounting area between the two mounting heads.
    Type: Grant
    Filed: December 28, 2010
    Date of Patent: July 7, 2015
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Kazuo Kido, Kenichi Kaida
  • Patent number: 9062373
    Abstract: A film deposition apparatus includes a turntable including plural substrate placing areas in the circumferential direction; a gas nozzle provided to extend from an inner edge to an outer edge of the substrate placing area; a gas evacuation port provided outside of an outer edge of the turntable and downstream in a rotational direction of the turntable with respect to the gas nozzle for evacuating the gas; and a regulation member including a wall portion provided between the gas nozzle and the gas evacuation port for isolating the gas nozzle and the gas evacuation port at least at a part between the inner edge to the outer edge of the substrate placing area while having a space extending from the inner edge to the outer edge of the substrate placing area when a substrate is placed on the substrate placing area.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: June 23, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hitoshi Kato, Shigehiro Ushikubo, Katsuyuki Hishiya
  • Publication number: 20150144060
    Abstract: Disclosed is a cluster-batch type substrate processing system. The cluster-batch type substrate processing system comprises a substrate carry-in section 1 into which a substrate 40 is carried; a substrate conveyance robot 7 to rotate about a rotation axis and perform loading/unloading of the substrate 40; and a plurality of batch type substrate processing apparatuses 9 (9a, 9b) disposed radially around the substrate conveyance robot 7.
    Type: Application
    Filed: November 18, 2014
    Publication date: May 28, 2015
    Inventor: Sang Kwon PARK
  • Patent number: 9039837
    Abstract: A film deposition apparatus includes a processing chamber; a rotary table; process regions provided in the processing chamber and arranged apart from each other in the rotational direction of the rotary table; reaction gas supplying units configured to supply reaction gases of different types to the corresponding process regions; separation regions provided between the process regions; separation gas supplying units configured to supply a separation gas to the separation regions to separate the atmospheres of the process regions; and an exhaust path forming part having openings at positions corresponding to the process regions and configured to form exhaust paths for separately guiding the atmospheres of the process regions from the openings to the corresponding exhaust ports of the processing chamber for exhausting atmospheres of the process regions. The exhaust path forming part is configured such that positions of the openings in the rotational direction are changeable.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: May 26, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Manabu Honma
  • Patent number: 9034157
    Abstract: An apparatus and associated method for reorienting the magnetic anisotropy of magnetic recording discs. A pallet that is moveable along a path of travel is also sized to selectively hold either a first magnetic recording disc of a first size or a second magnetic recording disc of a second size different than the first size. A first processing chamber in the path of travel is adapted for forming a soft underlayer (SUL) of magnetic material with non-radially oriented magnetic anisotropy on a substrate corresponding to one of the first and second magnetic recording discs. A second processing chamber in the path of travel downstream of the first processing chamber is adapted for selectively re-orienting the SUL's magnetic anisotropy via a magnetic source emanating a first magnetic field if the substrate corresponds to the first magnetic recording disc and emanating a different second magnetic field if the substrate corresponds to the second magnetic recording disc.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: May 19, 2015
    Assignee: Seagate Technology LLC
    Inventors: Paul S. McLeod, Charles F. Brucker, Jeffrey S. Reiter
  • Publication number: 20150128861
    Abstract: An integrated system for processing a substrate to improve electromigration performance of a copper interconnect, including: a lab-ambient transfer chamber capable of transferring the substrate from a substrate cassette coupled to the lab-ambient transfer chamber into the integrated system; a vacuum transfer chamber operated under vacuum at a pressure less than 1 Torr; a vacuum process module for depositing a metallic barrier layer, wherein the vacuum process module for depositing the metallic barrier layer is coupled to the vacuum transfer chamber, and is operated under vacuum at a pressure less than 1 Torr; a controlled-ambient transfer chamber filled with an inert gas selected from a group of inert gases; and, a deposition process module used to deposit a functionalization layer on the surface of the metallic barrier layer, wherein the deposition process module used to deposit the functionalization layer is coupled to the controlled-ambient transfer chamber.
    Type: Application
    Filed: December 2, 2014
    Publication date: May 14, 2015
    Inventors: Hyungsuk Alexander Yoon, John Boyd, Yezdi Dordi, Fritz C. Redeker
  • Publication number: 20150133293
    Abstract: A method for promoting the supported catalysts using noble metal nanoparticles. Different noble metal precursors are preferentially deposited onto the supported metal catalysts through Chemical vapor deposition (CVD), and compositions so produced. Further, the promoted catalyst is used for CO and CO2 hydrogenation reactions, increasing the reaction conversion, C5+ compounds selectivity and chain growth probability. The active phase of catalyst can be either cobalt oxide, nickel oxide or their reduced format (Co0 or Ni0), and the noble metal is preferably Ruthenium.
    Type: Application
    Filed: November 5, 2014
    Publication date: May 14, 2015
    Applicant: Sensiran
    Inventors: Abbas Ali Khodadadi, Yadollah Mortazavi, Mohammad Javad Parnian, Ali Taheri Najafabadi
  • Patent number: 9031685
    Abstract: A method and apparatus for atomic layer deposition (ALD) is described. In one embodiment, an apparatus comprises a vacuum chamber body having a contiguous internal volume comprised of a first deposition region spaced-apart from a second deposition region, the chamber body having a feature operable to minimize intermixing of gases between the first and the second deposition regions, a first gas port formed in the chamber body and positioned to pulse gas preferentially to the first deposition region to enable a first deposition process to be performed in the first deposition region, and a second gas port formed in the chamber body and positioned to pulse gas preferentially to the second deposition region to enable a second deposition process to be performed in the second deposition region is provided.
    Type: Grant
    Filed: January 7, 2014
    Date of Patent: May 12, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Barry L. Chin, Alfred W. Mak, Lawrence C. Lei, Ming Xi, Hua Chung, Ken Kaung Lai, Jeong Soo Byun
  • Patent number: 9023429
    Abstract: A method of manufacturing a semiconductor device including: mounting a substrate on a substrate mounting member that is disposed in a reaction container; heating the substrate at a predetermined processing temperature and supplying a first gas and a second gas to the substrate to process the substrate; stopping supply of the first gas and the second gas, and supplying an inert gas into the reaction container; and unloading the substrate to outside the reaction container.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yuichiro Takeshima, Osamu Kasahara, Kazuyuki Toyoda, Junichi Tanabe, Katsuhiko Yamamoto, Hisashi Nomura
  • Publication number: 20150114291
    Abstract: The present invention is to provide a technology for forming an organic compound film having a uniform thickness on a film at a high film formation speed while transporting the film in a vacuum chamber. In a vacuum chamber, a film reeled out from a mother roll is transported in contact with a center roller and an organic compound film is formed on the film. A vapor emission device disposed in a film deposition chamber provided in the vacuum chamber and having a vapor emission unit which emits and blows a vapor of an organic compound monomer to a film on the center roller, and an energy ray-emitting device for irradiating an organic compound monomer layer formed on the center roller with an energy ray so as to cure the organic compound layer are provided.
    Type: Application
    Filed: December 29, 2014
    Publication date: April 30, 2015
    Applicant: ULVAC, Inc.
    Inventors: Kazuhiko SAITOU, Masayuki IIJIMA, Takayoshi HIRONO, Kenji NAKAMORI
  • Publication number: 20150114290
    Abstract: The present invention forms an organic thin film at a high film formation rate by vapor of an organic compound generated by heating. A film formation chamber is disposed in the interior of a buffer chamber; a part of the side surface of a center roller is inserted in the film formation chamber from a film formation chamber opening; and a base material film is run in close contact with the side surface in the part. The vapor is carried by a carrier gas from a vapor generation device connected to the film formation chamber; the center roller is cooled by a cooling device; the base material film is cooled to temperatures lower than the condensation temperature of the vapor; and an organic raw material layer is formed on the surface of the base material film by the vapor discharged into the film formation chamber, which is cured by the irradiation with an energy ray in the curing chamber while rotating the center roller.
    Type: Application
    Filed: December 29, 2014
    Publication date: April 30, 2015
    Applicant: ULVAC, Inc.
    Inventors: Kazuhiko SAITOU, Masayuki IIJIMA, Takayoshi HIRONO, Kenji NAKAMORI
  • Publication number: 20150114292
    Abstract: The present invention provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature, UV spectral distribution, and other conditions may be independently modulated in each operation. Operations may be pulsed or even be concurrently applied to the same wafer. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film.
    Type: Application
    Filed: January 9, 2015
    Publication date: April 30, 2015
    Inventors: Jason Dirk Haverkamp, Dennis M. Hausmann, Kevin M. McLaughlin, Krishnan Shrinivasan, Michael Rivkin, Eugene Smargiassi, Mohamed Sabri
  • Patent number: 9017524
    Abstract: A vacuum film formation method for forming at least one inorganic layer on a support, which comprise transporting a support of which the area of the surface to be coated with an inorganic layer formed thereon is a (unit: cm2) into a first vacuum tank having a capacity of at most 100a (unit: cm3) under atmospheric pressure, degassing the first vacuum tank into a vacuum, transporting the support from the first vacuum tank to a second vacuum tank while the vacuum condition is kept as such, and forming at least one inorganic layer on the support in the second vacuum tank.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: April 28, 2015
    Assignee: FUJIFILM Corporation
    Inventor: Naoki Tsukamoto
  • Patent number: 9017480
    Abstract: A deposition system and method includes a deposition source, a roll conveyor and at least one shield positioned at a location proximate to the deposition source.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: April 28, 2015
    Assignee: First Solar, Inc.
    Inventor: Ricky C. Powell
  • Patent number: 9016233
    Abstract: A reactor assembly having vertically adjustable flow cells is provided. The vertically adjustable flow cells include passageways for distributing a fluid to a reaction region isolated below a bottom surface of corresponding flow cells. The adjustable flow cells enable another dimension of variability for combinatorial processing. Thus, additional data may be gathered for effects on process parameter variations, material variations, process sequence variations, etc., for semiconductor processing operations.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: April 28, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Kurt Weiner, Aaron Francis, John Schmidt
  • Patent number: 9016675
    Abstract: An apparatus for supporting a workpiece during processing of the workpiece is disclosed. The apparatus comprises: a chassis having a vacuum chamber that is connectable to a vacuum source; a supporting device rotatable relative to the chassis, the supporting device having a hollow compartment and a supporting surface for holding the workpiece; and at least one sealing device arranged between the chassis and the supporting device, to provide an air-tight seal between the chassis and the supporting device while allowing for rotation of the supporting device with respect to the chassis, so as to form a vacuum passage extending from the supporting surface of the supporting device through the hollow compartment of the supporting device and the vacuum chamber of the chassis to the vacuum source, to thereby hold the workpiece to the supporting surface of the supporting device during processing of the workpiece.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: April 28, 2015
    Assignee: ASM Technology Singapore Pte Ltd
    Inventors: Chi Wah Cheng, Lap Kei Chow, Chi Hang Leung
  • Publication number: 20150107516
    Abstract: In a substrate treatment system including multiple treatment chambers around a substrate transfer chamber, an increase in apparatus floor area due to installation of additional treatment chambers is reduced. A plasma treatment apparatus according to one embodiment of the present invention includes: a treatment chamber; a substrate holder for holding the substrate; plasma generation unit for forming plasma; multiple gate valves for installation and removal of the substrate; a shield for surrounding the plasma formed by the plasma generation unit; and substrate transfer unit for transferring the substrate through the gate valves. The substrate transfer unit is shielded from the plasma by the shield.
    Type: Application
    Filed: September 30, 2014
    Publication date: April 23, 2015
    Inventors: Koji TSUNEKAWA, Yoshinori NAGAMINE, Daisuke NAKAJIMA
  • Patent number: 9011634
    Abstract: Provided are a plasma processing apparatus and a plasma processing method, by which plasma damage is reduced during processing. At the time of performing desired plasma processing to a substrate (5), a process chamber (2) is supplied with an inert gas for carrying in and out the substrate (5), pressure fluctuation in the process chamber (2) is adjusted to be within a prescribed range, and plasma (20) of the inert gas supplied in the process chamber (2) is generated. The density of the plasma (20) in the transfer area of the substrate (5) is reduced by controlling plasma power to be in a prescribed range, and the substrate (5) is carried in and out to and from a supporting table (4).
    Type: Grant
    Filed: October 4, 2012
    Date of Patent: April 21, 2015
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Ryuichi Matsuda, Masahiko Inoue, Kazuto Yoshida, Tadashi Shimazu
  • Patent number: 9011601
    Abstract: A substrate processing apparatus capable of forming an oxide film on a substrate by forming a layer on the substrate by supplying a source gas into a process vessel accommodating the substrate via the first nozzle, and simultaneously supplying an oxygen-containing gas through a second nozzle and a hydrogen-containing gas through a first nozzle into the process vessel having an inside pressure thereof lower than atmospheric pressure; mixing and reacting the oxygen-containing gas with the hydrogen-containing gas in a non-plasma atmosphere within the process vessel to generate atomic oxygen; and oxidizing the layer with the atomic oxygen to change the layer into an oxide layer is disclosed.
    Type: Grant
    Filed: July 11, 2014
    Date of Patent: April 21, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Naonori Akae, Yoshiro Hirose, Yushin Takasawa, Yosuke Ota
  • Publication number: 20150104574
    Abstract: Embodiments relate to an atomic layer deposition (ALD) process that uses a seed precursor for increased deposition rate. A first reactant precursor (e.g., H2O) may be formed as a result of reaction. The first reactant precursor may react with or substitute source precursor (e.g., 3DMAS) in a subsequent process to deposit material on a substrate. In addition, a second reactant precursor (e.g., radicals) may be separately injected onto the substrate previously injected with the source precursor. By causing the source precursor to react with the first reactant precursor from the surface of the substrate and also react with the second reactant provided by the injector, the material is deposited on the substrate in an expedient manner.
    Type: Application
    Filed: October 14, 2014
    Publication date: April 16, 2015
    Inventors: Sang In Lee, Chang Wan Hwang, Jeong Ah Yoon
  • Patent number: 9006115
    Abstract: A method of forming a silicone oxide film includes: forming a silicon oxide film on a plurality of target objects by supplying a chlorine-containing silicon source into a reaction chamber accommodating the plurality of target objects; and modifying the silicon oxide film, which is formed by forming the silicon oxide film, by supplying hydrogen and oxygen or hydrogen and nitrous oxide into the reaction chamber and making an interior of the reaction chamber be under a hydrogen-oxygen atmosphere or a hydrogen-nitrous oxide atmosphere.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: April 14, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Tomoyuki Obu, Masaki Kurokawa
  • Publication number: 20150099374
    Abstract: Provided is a method of forming a silicon nitride film on a surface to be processed of a target object, which includes: repeating a first process a first predetermined number of times, the process including supplying a silicon source gas containing silicon toward the surface to be processed and supplying a decomposition accelerating gas containing a material for accelerating decomposition of the silicon source gas toward the surface to be processed; performing a second process of supplying a nitriding gas containing nitrogen toward the surface to be processed a second predetermine number of times; and performing one cycle a third predetermined number of times, the one cycle being a sequence including the repetition of the first process and the performance of the second process to form the silicon nitride film on the surface to be processed.
    Type: Application
    Filed: October 6, 2014
    Publication date: April 9, 2015
    Inventors: Akinobu KAKIMOTO, Kazuhide HASEBE
  • Patent number: 8999102
    Abstract: In a method for forming a stacked substrate of a MOS (Metal Oxide Semiconductor) structure including an oxide film serving as a gate insulating film formed on a semiconductor material layer having a film or substrate shape; and a conductive film serving as a gate electrode formed on the oxide film, a polysilane film on the semiconductor material layer is formed by coating a polysilane solution on a surface of a substrate to which the semiconductor material layer is exposed. A film containing metal ions is formed on the polysilane film by coating a metal salt solution thereon, and the polysilane film and the film containing metal ions are respectively modified into a polysiloxane film and a film containing fine metal particles to form the stacked substrate.
    Type: Grant
    Filed: June 17, 2014
    Date of Patent: April 7, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hidenori Miyoshi, Shuji Azumo
  • Patent number: 8994124
    Abstract: Disclosed is a semiconductor device that comprises a gate insulating film formed on a semiconductor substrate; a first conductive metal-containing film formed on the gate insulating film; a second conductive metal-containing film, formed on the first metal-containing film, to which aluminum is added; and a silicon film formed on the second metal-containing film.
    Type: Grant
    Filed: March 21, 2012
    Date of Patent: March 31, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Arito Ogawa
  • Patent number: 8993056
    Abstract: An improved process and apparatus for uniform gas distribution in chemical vapor deposition (CVD) Siemens type processes is provided. The process comprises introduction of a silicon-bearing gas tangentially to and uniformly along the length of a growing silicon rod in a CVD reactor, resulting in uniform deposition of polysilicon along the rod. The apparatus comprises an improved gas nozzle design and arrangement along the length of the rod, promoting uniform deposition of polysilicon.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: March 31, 2015
    Assignee: Savi Research, Inc.
    Inventors: Vithal Revankar, Sanjeev Lahoti
  • Patent number: 8992685
    Abstract: In a substrate processing apparatus, a film deposition device and a heat processing device to perform an anneal processing are airtightly connected to a vacuum conveying chamber, and a substrate rotating unit to cause a substrate to rotate around a vertical axis is provided in the vacuum conveying chamber. A control unit is arranged to stop a relative rotation of a plurality of reactive gas supplying units, a separating gas supplying unit and a table by a rotation device in the middle of a film deposition process of the substrate, cause a conveying unit to take out the substrate from a vacuum chamber, and output a control signal that causes a substrate rotating unit to change a direction of the substrate.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Manabu Honma, Hiroyuki Kikuchi, Yu Wamura, Jun Ogawa
  • Patent number: 8991333
    Abstract: A substrate processing method includes a first step of subjecting a target substrate to a gas process within an atmosphere containing a fluorine-containing process gas, thereby forming a fluorine-containing reaction product on a surface of the target substrate. The method further includes a second step of subjecting the target substrate treated by the gas process to a heating process and a gas process within an atmosphere containing a reactive gas that reacts with fluorine.
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Shigeki Tozawa
  • Patent number: 8992687
    Abstract: Processing gas is supplied from the central upper part of a processing chamber to a wafer on a mounting board, while the processing chamber is exhausted from processing gas exhaust passages at areas outside of the wafer. In addition, purge gas is supplied from purge gas supply passages to a buffer chamber formed between the peripheral part of a container main body and that of a cover body. The supplied flow-rate of the processing gas is made less than the exhaust flow-rate in the processing gas exhaust passages. Accordingly, the purge gas in the buffer chamber is drawn into the processing chamber via a purge gas supply hole formed of a gap between the container main body and the cover body due to a negative pressure inside the processing chamber caused by a difference between the flow rates.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hiroyuki Kudoh, Hideto Mori, Shinji Okada, Toyohisa Tsuruda
  • Publication number: 20150087160
    Abstract: A substrate processing apparatus includes: a processing gas supply pipe configured to supply a processing gas into a processing chamber; a substrate mounting table that is installed in the processing chamber and on which a substrate to be processed is mounted; a driving unit configured to drive the substrate mounting table to move the substrate mounted on the substrate mounting table; a first plasma generating unit configured to generate plasma of the processing gas supplied into the processing chamber with a first density; and a second plasma generating unit that is installed adjacent to the first plasma generating unit in a traveling direction of the substrate and configured to generate plasma of the processing gas supplied into the processing chamber with a second density lower than the first density.
    Type: Application
    Filed: September 17, 2014
    Publication date: March 26, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kazuyuki TOYODA, Tetsuaki INADA
  • Publication number: 20150083330
    Abstract: An electronic device manufacturing system may include a chamber port assembly that provides an interface between a transfer chamber and a process chamber. In some embodiments, the chamber port assembly may be configured to direct a flow of purge gas into a substrate transfer area of the chamber port assembly. In other embodiments, a process chamber and/or the transfer chamber may be configured to direct a flow of purge gas into the substrate transfer area. The flow of purge gas into a substrate transfer area may prevent and/or reduce migration of particulate matter from chamber hardware onto a substrate being transferred between the transfer chamber and a process chamber. Methods of assembling a chamber port assembly are also provided, as are other aspects.
    Type: Application
    Filed: September 25, 2013
    Publication date: March 26, 2015
    Inventors: Nagendra V. Madiwal, Robert Irwin Decottignies, Andrew Nguyen, Paul B. Reuter, Angela R. Sico, Michael Kuchar, Travis Morey, Mitchell Disanto
  • Patent number: 8986451
    Abstract: Described is a linear batch CVD system that includes a deposition chamber, one or more substrate carriers, gas injectors and a heating system. Each substrate carrier is disposed in the deposition chamber and has at least one receptacle configured to receive a substrate. The substrate carriers are configured to hold substrates in a linear configuration. Each gas injector includes a port configured to supply a gas in a uniform distribution across one or more of the substrates. The heating system includes at least one heating element and a heating control module for uniformly controlling a temperature of the substrates. The system is suitable for high volume CVD processing of substrates. The narrow width of the deposition chamber enables a uniform distribution of precursor gases across the substrates along the length of the reaction chamber and permits a greater number of substrates to be processed in comparison to conventional deposition chambers.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: March 24, 2015
    Assignee: Singulus MOCVD GmbH I. GR.
    Inventor: Piero Sferlazzo
  • Publication number: 20150079283
    Abstract: A deposition apparatus comprising a vaporizer chamber configured to hold a solid precursor of a dopant element therein. Gas input and output lines are connected to the vaporizer chamber and flow rate controllers are coupled to each of the gas input and output lines. The flow rate controllers are configured to adjust a rate of carrier gas flow into and out of the vaporizer chamber through the gas input and output lines. The vaporizer chamber has a temperature controller and pressure controller to produce vapors of the solid precursor in the vaporizer chamber that can be carried with the carrier gas flow through the output line.
    Type: Application
    Filed: September 13, 2013
    Publication date: March 19, 2015
    Applicant: LGS Innovations LLC
    Inventors: Brijesh Vyas, Mike Santo, Hugo Safar
  • Publication number: 20150075421
    Abstract: The present invention in one preferred embodiment discloses a new design of HVPE reactor, which can grow gallium nitride for more than one day without interruption. To avoid clogging in the exhaust system, a second reactor chamber is added after a main reactor where GaN is produced. The second reactor chamber may be configured to enhance ammonium chloride formation, and the powder may be collected efficiently in it. To avoid ammonium chloride formation in the main reactor, the connection between the main reactor and the second reaction chamber can be maintained at elevated temperature. In addition, the second reactor chamber may have two or more exhaust lines. If one exhaust line becomes clogged with powder, the valve for an alternative exhaust line may open and the valve for the clogged line may be closed to avoid overpressuring the system. The quartz-made main reactor may have e.g. a pyrolytic boron nitride liner to collect polycrystalline gallium nitride efficiently.
    Type: Application
    Filed: May 22, 2014
    Publication date: March 19, 2015
    Applicant: SIXPOINT MATERIALS, INC.
    Inventors: Tadao HASHIMOTO, Edward LETTS
  • Publication number: 20150075429
    Abstract: Disclosed is a coating chamber having a process passage in which a coating process is performed, a particle supply means configured to supply nanoparticles into the process passage, a gas supply means configured to supply a carrier gas and a reactive gas serving as a source of a shell material into the process passage, and a low pressure forming means configured to form a low pressure in the process passage. The coating chamber has a speed adjustment member formed of a porous material or a grid and installed in the process passage, and as a moving speed of the nanoparticles is decreased due to flow resistance or collision of the nanoparticles passing through the speed adjustment member, first and second precursors supplied as the reactive gas move more rapidly than the nanoparticles to coat a thin film on the nanoparticles with the material.
    Type: Application
    Filed: September 16, 2014
    Publication date: March 19, 2015
    Inventors: Sungho PARK, Won-Seok CHAE, Man So HAN
  • Publication number: 20150079784
    Abstract: Embodiments of the present invention generally relate to a method of forming a cobalt layer on a dielectric material without incubation delay. Prior to depositing the cobalt layer using CVD, the surface of the dielectric material is pretreated at a temperature between 100° C. and 250° C. Since the subsequent CVD cobalt process is also performed at between 100° C. and 250° C., one processing chamber is used for pretreating the dielectric material and forming of the cobalt layer. The combination of processing steps enables use of two processing chambers to deposit cobalt.
    Type: Application
    Filed: September 13, 2013
    Publication date: March 19, 2015
    Applicant: Applied Materials, Inc.
    Inventors: Bhushan N. ZOPE, Avgerinos V. GELATOS
  • Publication number: 20150068581
    Abstract: A fabrication method for high-efficiency multi junction solar cells, including: providing a Ge substrate for semiconductor epitaxial growth; growing an emitter region over the Ge substrate (as the base) to form a first subcell with a first band gap; forming a second subcell with a second band gap larger than the first band gap and lattice matched with the first subcell over the first subcell via MBE; forming a third subcell with a third band gap larger than the second band gap and lattice matched with the first and second subcells over the second subcell via MOCVD; and forming a fourth subcell with a fourth band gap larger than the third band gap and lattice matched with the first, second and third subcells over the third subcell via MOCVD.
    Type: Application
    Filed: November 13, 2014
    Publication date: March 12, 2015
    Applicant: XIAMEN SANAN OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: JINGFENG BI, GUIJIANG LIN, JIANQING LIU, JIE DING
  • Patent number: 8974601
    Abstract: Provided is an apparatus, system and method for treating a substrate, and more particularly, a substrate treating apparatus having a cluster structure, a substrate treating system, and a substrate treating method using the substrate treating system. The substrate treating apparatus includes a load port on which a container containing a substrate is installed, a plurality of process modules treating the substrate, a transfer module disposed between the load port and the process modules, and transferring the substrate between the container and the process modules, and a buffer chamber disposed between neighboring ones of the process modules, and providing a space for carrying the substrate between the neighboring process modules.
    Type: Grant
    Filed: July 27, 2012
    Date of Patent: March 10, 2015
    Assignee: Semes Co., Ltd.
    Inventor: Hyung Joon Kim
  • Publication number: 20150064340
    Abstract: A system and method for depositing a coating may comprise a coating chemical reactor, surface activation component, and a deposition component. A target surface may be prepared for deposition with the surface activation component. The coating chemical reactor may comprise a coating chemical dispenser and a coating chemical verifier that prepares the coating chemical for deposition. The coating chemical verifier may utilize an optical excitation source and at least one optical detector, wherein chemical substances are identified by unique signatures composed of binary code. The coating chemical may be received by the deposition component to depositing the coating chemical on the target surface.
    Type: Application
    Filed: June 11, 2014
    Publication date: March 5, 2015
    Applicant: University of Houston
    Inventors: Seamus Curran, Nigel Alley, Kang-Shyang Liao, Amrita Haldar
  • Publication number: 20150059647
    Abstract: An apparatus for growing diamonds, the apparatus comprising: one or more chambers, each chamber is in fluid connection with one or more other chambers, each chamber comprising one or more substrate stage assembly within the chamber to support a substrate stage having a plurality of diamond seeds disposed thereon.
    Type: Application
    Filed: April 12, 2013
    Publication date: March 5, 2015
    Applicant: IIa Technologies Pt. Ltd.
    Inventor: Devi Shanker Misra
  • Patent number: 8968476
    Abstract: An atomic deposition apparatus is provided for simultaneously loading/unloading a plurality of substrates. The atomic deposition apparatus which may load/unload the plurality of substrates when transmitting the plurality of substrates to a process module, includes a loading/unloading module for loading/unloading a substrate, a process module including a plurality of process chambers for simultaneously receiving a plurality of substrates and performing a deposition process, each of the plurality of process chambers including a gas spraying unit having an exhaust portion by which an exhaust gas is drawn in from inside the process chamber and the drawn in gas is exhausted above the process chamber, and a transfer module including a transfer robot provided between the loading/unloading module and the process module, the transfer robot being adopted for simultaneously holding the plurality of substrates while transporting the substrate.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: March 3, 2015
    Assignee: K.C. Tech Co., Ltd.
    Inventors: In Chul Shin, Kyung Joon Kim
  • Publication number: 20150053996
    Abstract: A step-flow growth of a group-III nitride single crystal on a silicon single crystal substrate is promoted. A layer of oxide oriented to a <111> axis of silicon single crystal is formed on a surface of a silicon single crystal substrate, and group-III nitride single crystal is crystallized on a surface of the layer of oxide. Thereupon, a <0001> axis of the group-III nitride single crystal undergoing crystal growth is oriented to a c-axis of the oxide. When the silicon single crystal substrate is provided with a miscut angle, step-flow growth of the group-III nitride single crystal occurs. By deoxidizing a silicon oxide layer formed at an interface of the silicon single crystal and the oxide, orientation of the oxide is improved.
    Type: Application
    Filed: November 1, 2012
    Publication date: February 26, 2015
    Applicants: Kabushiki Kaisha Toyota Chuo Kenkyusho, Denso Corporation
    Inventors: Tetsuo Narita, Kenji Ito, Kazuyoshi Tomita, Nobuyuki Otake, Shinichi Hoshi, Masaki Matsui