By Means To Heat Or Cool Patents (Class 118/724)
  • Patent number: 9032906
    Abstract: Embodiments of the invention provide an apparatus configured to form a material during an atomic layer deposition (ALD) process, such as a plasma-enhanced ALD (PE-ALD) process. In one embodiment, a plasma baffle assembly for receiving a process gas within a plasma-enhanced vapor deposition chamber is provided which includes a plasma baffle plate containing an upper surface to receive a process gas and a lower surface to emit the process gas, a plurality of openings configured to flow the process gas from above the upper surface to below the lower surface, wherein each opening is positioned at a predetermined angle of a vertical axis that is perpendicular to the lower surface, and a conical nose cone on the upper surface. In one example, the openings are slots positioned at a predetermined angle to emit the process gas with a circular flow pattern.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: May 19, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Paul Ma, Kavita Shah, Dien-Yeh Wu, Seshadri Ganguli, Christophe Marcadal, Frederick C. Wu, Schubert S. Chu
  • Publication number: 20150132486
    Abstract: A deposing apparatus includes a crucible having a deposition area formed inside the crucible; a heat sink partially embedded in the crucible and capable of transferring heat from the deposition area; a heat-insulator fixedly surrounding without covering the deposing area; and a thermal reflector securely mounted on a free surface of the heat-insulator without covering the deposition area and having a reflecting face with a slope extending from a side wall of the crucible to the deposition area. The heat-insulator has a relatively low thermal conductivity relative to those of the crucible, the heat sink and the thermal reflector. The thermal reflector reflects thermal radiation in the chamber and communicates with the heat-insulator and the chamber via the pores in the thermal reflector.
    Type: Application
    Filed: November 12, 2013
    Publication date: May 14, 2015
    Applicant: Chung-Shan Institute of Science and Technology, Armaments Bureau, Ministry of National Defence
    Inventors: Chih-Yung Hsiung, Dai-Liang Ma, Jun-Bin Huang, Hsueh-I Chen
  • Publication number: 20150132972
    Abstract: A substrate processing apparatus includes: a reaction tube configured to accommodate a plurality of substrates and to be supplied with a gas generated by vaporizing or turning into mist a solution containing a reactant in a solvent; a lid configured to close the reaction tube; a first heater configured to heat the plurality of substrates; a thermal conductor placed on the lid on an upper surface thereof; a second heater placed outside the reaction tube around a side thereof, the second heater being configured to heat the gas flowing near the lid; and a heating element placed on the lid on a lower surface thereof, the heating element configured to heat the lid.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 14, 2015
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yuichi WADA, Hiroshi ASHIHARA, Hideto TATENO, Harunobu SAKUMA
  • Patent number: 9028614
    Abstract: When processing such as SiC epitaxial growth is performed at an ultrahigh temperature of 1500° C. to 1700° C., a film-forming gas can be decreased to heat-resistant temperature of a manifold and film quality uniformity can be improved. A substrate processing apparatus includes a reaction chamber for processing a plurality of substrates, a boat for holding the plurality of substrates, a gas supply nozzle for supplying a film-forming gas to the plurality of substrates, an exhaust port for exhausting the film-forming gas supplied into the reaction chamber, a heat exchange part which defines a second flow path narrower than a first flow path defined by an inner wall of the reaction chamber and the boat, and a gas discharge part installed under the lowermost substrate of the plurality of substrates.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: May 12, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Daisuke Hara, Takeshi Itoh, Masanao Fukuda, Takatomo Yamaguchi, Hiroaki Hiramatsu, Shuhei Saido, Takafumi Sasaki
  • Patent number: 9023425
    Abstract: Fluidized bed reactor systems for producing high purity silicon-coated particles are disclosed. A vessel has an outer wall, an insulation layer inwardly of the outer wall, at least one heater positioned inwardly of the insulation layer, a removable concentric liner inwardly of the heater, a central inlet nozzle, a plurality of fluidization nozzles, at least one cooling gas nozzle, and at least one product outlet. The system may include a removable concentric sleeve inwardly of the liner. In particular systems the central inlet nozzle is configured to produce a primary gas vertical plume centrally in the reactor chamber to minimize silicon deposition on reactor surfaces.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: May 5, 2015
    Assignee: REC Silicon Inc
    Inventors: E. Wayne Osborne, Michael V. Spangler, Levi C. Allen, Robert J. Geertsen, Paul E. Ege, Walter J. Stupin, Gerald Zeininger
  • Patent number: 9023429
    Abstract: A method of manufacturing a semiconductor device including: mounting a substrate on a substrate mounting member that is disposed in a reaction container; heating the substrate at a predetermined processing temperature and supplying a first gas and a second gas to the substrate to process the substrate; stopping supply of the first gas and the second gas, and supplying an inert gas into the reaction container; and unloading the substrate to outside the reaction container.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 5, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yuichiro Takeshima, Osamu Kasahara, Kazuyuki Toyoda, Junichi Tanabe, Katsuhiko Yamamoto, Hisashi Nomura
  • Publication number: 20150114296
    Abstract: Methods for preparing organic thin films on substrates, the method comprising the steps of providing a plurality of organic precursors in the vapor phase, and reacting the plurality or organic precursors at a sub-atmospheric pressure. Also included are thin films made by such a method and apparatuses used to conduct such a method. The method is well-suited to the formation of organic light emitting devices and other display-related technologies.
    Type: Application
    Filed: April 5, 2013
    Publication date: April 30, 2015
    Applicant: The Trustees of Princeton University
    Inventor: The Trustees of Princeton University
  • Patent number: 9017481
    Abstract: Embodiments related to managing the process feed conditions for a semiconductor process module are provided. In one example, a gas channel plate for a semiconductor process module is provided. The example gas channel plate includes a heat exchange surface including a plurality of heat exchange structures separated from one another by intervening gaps. The example gas channel plate also includes a heat exchange fluid director plate support surface for supporting a heat exchange fluid director plate above the plurality of heat exchange structures so that at least a portion of the plurality of heat exchange structures are spaced from the heat exchange fluid director plate.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: April 28, 2015
    Assignee: ASM America, Inc.
    Inventors: Fred Pettinger, Carl White, Dave Marquardt, Sokol Ibrani, Eric Shero, Todd Dunn, Kyle Fondurulia, Mike Halpin
  • Patent number: 9017482
    Abstract: The present invention provides a technique by which heat can be efficiently recovered from a coolant used to cool a reactor, and contamination with dopant impurities from an inner wall of a reactor when polycrystalline silicon is deposited within the reactor can be reduced to produce high-purity polycrystalline silicon. With the use of hot water 15 having a temperature higher than a standard boiling point as a coolant fed to the reactor 10, the temperature of the reactor inner wall is kept at a temperature of not more than 370° C. Additionally, the pressure of the hot water 15 to be recovered is reduced by a pressure control section provided in a coolant tank 20 to generate steam. Thereby, a part of the hot water is taken out as steam to the outside, and reused as a heating source for another application.
    Type: Grant
    Filed: July 20, 2010
    Date of Patent: April 28, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Shigeyoshi Netsu, Kyoji Oguro, Takaaki Shimizu, Yasushi Kurosawa, Fumitaka Kume
  • Publication number: 20150111323
    Abstract: A method of manufacturing an EL display device having a panel part includes a step of forming film of an element constituting the panel part, by using a vapor deposition equipment. The vapor deposition equipment is equipped with crucible configured to accommodate vapor deposition material, metal case configured to dispose the crucible therein, and heater configured to heat vapor deposition material in the crucible. Case includes container and lid. Container is configured to accommodate crucible with a gap between the container and crucible. Lid is configured to be removably attached to an opening of container, and includes a jetting port through which vapors of vapor deposition material jet out.
    Type: Application
    Filed: December 29, 2014
    Publication date: April 23, 2015
    Inventors: Seiji IMANAKA, Kazuo UETANI, Akira TAKIGUCHI
  • Patent number: 9011600
    Abstract: A chemical vapour deposition system, including: a process tube for receiving at least one sample, the process tube being constructed of silicon carbide, impregnated with silicon, and coated with silicon carbide; a pumping system to evacuate the process tube to high vacuum; one or more gas inlets for introducing one or more process gases into the evacuated process tube; and a heater to heat the process tube and thereby heat the one or more process gases and the at least one sample within the process tube to cause a material to be deposited onto the at least one sample within the process tube by chemical vapour deposition.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: April 21, 2015
    Assignee: Griffith University
    Inventor: Alan Victor Iacopi
  • Publication number: 20150099374
    Abstract: Provided is a method of forming a silicon nitride film on a surface to be processed of a target object, which includes: repeating a first process a first predetermined number of times, the process including supplying a silicon source gas containing silicon toward the surface to be processed and supplying a decomposition accelerating gas containing a material for accelerating decomposition of the silicon source gas toward the surface to be processed; performing a second process of supplying a nitriding gas containing nitrogen toward the surface to be processed a second predetermine number of times; and performing one cycle a third predetermined number of times, the one cycle being a sequence including the repetition of the first process and the performance of the second process to form the silicon nitride film on the surface to be processed.
    Type: Application
    Filed: October 6, 2014
    Publication date: April 9, 2015
    Inventors: Akinobu KAKIMOTO, Kazuhide HASEBE
  • Publication number: 20150093518
    Abstract: The present disclosure provides an apparatus of performing a heat treatment with respect to a substrate mounted within a processing vessel, including: a substrate mounting stand including an inner portion configured to transfer heat to a central portion of the substrate and a heat generation regulating portion configured to generate heat through an induction heating; a magnetic field forming mechanism configured to form magnetic fields with alternating current power and to inductively heat the heat generation regulating portion; a power supply unit configured to supply the alternating current power to the magnetic field forming mechanism; a temperature measuring unit configured to measure a temperature of the heat generation regulating portion; a control unit configured to control the alternating current power; and a gas supply unit configured to supply a treatment gas to the substrate mounted on the mounting stand.
    Type: Application
    Filed: September 29, 2014
    Publication date: April 2, 2015
    Inventors: Tomihiro YONENAGA, Cheoljung KIM, Yumiko KAWANO
  • Patent number: 8991332
    Abstract: Systems and apparatus are disclosed for adjusting the temperature of at least a portion of the surface of a reaction chamber during a film formation process to control film properties. More than one portion of the chamber surface may be temperature-modulated.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Satheesh Kuppurao, David K. Carlson, Manish Hemkar, Andrew Lam, Errol Sanchez, Howard Beckford
  • Patent number: 8993933
    Abstract: Embodiments of the present invention provide thermal processing chambers including a drive mechanism and a heating assembly disposed on opposite sides of a substrate support assembly. Particularly, the heating assembly is disposed below the substrate support assembly to process a substrate with a device side facing up and the drive mechanism is disposed above the substrate assembly.
    Type: Grant
    Filed: January 24, 2013
    Date of Patent: March 31, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Oleg Serebryanov, Joseph M. Ranish, Aaron Muir Hunter
  • Patent number: 8992686
    Abstract: Provided is a mounting table structure for use in forming a thin film on a surface of a target object mounted on the mounting table structure by using a raw material gas including an organic metal compound in a processing chamber. The mounting table structure includes: a mounting table main body which mounts thereon the target object and has therein a heater; and a base which supports the mounting table main body while surrounding a side surface and a bottom surface of the mounting table main body, the base having therein a coolant path where a coolant flows therethrough and being maintained at a temperature higher than the solidification temperature or the liquefaction temperature of the raw material gas, but lower than the decomposition temperature of the raw material gas.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: March 31, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Atsushi Gomi, Yasushi Mizusawa, Tatsuo Hatano, Masamichi Hara, Kaoru Yamamoto, Satoshi Taga, Chiaki Yasumuro
  • Publication number: 20150083046
    Abstract: Embodiments described herein generally relate to an apparatus for heating substrates. In one embodiment, a susceptor comprises a ring shaped body having a central opening and a lip extending from an edge of the body that circumscribes the central opening. The susceptor comprises carbon fiber or graphene. In another embodiment, a method for forming a susceptor comprises molding carbon fiber with an organic binder into a shape of a ring susceptor and firing the organic binder. In yet another embodiment, a method for forming a susceptor comprises layering graphene sheets into a shape of a ring susceptor.
    Type: Application
    Filed: September 24, 2014
    Publication date: March 26, 2015
    Inventors: Joseph M. RANISH, Paul BRILLHART, Mehmet Tugrul SAMIR, Shu-Kwan LAU, Surajit KUMAR
  • Patent number: 8986453
    Abstract: The invention relates to a device for coating substrates having a process chamber (1) disposed in a reactor housing and a two-part, substantially cup-shaped susceptor (2, 3) disposed therein, forming an upper susceptor part (2) with the cup floor thereof having a flat plate (2?) and a lower susceptor part (3) with the cup side walls thereof, the outer side (4) of the plate (2?) of the upper susceptor part (2) facing upwards toward the process chamber (1) and forming a contact surface for at least one substrate, the upper susceptor part (2) contacting a front edge (3?) of the lower susceptor part (3) at the edge of said upper susceptor part (2), the lower susceptor part (3) being supported by a susceptor carrier (6), and heating zones (A, B, C) for heating the upper susceptor part (2) being disposed below the plate (2?).
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: March 24, 2015
    Assignee: Aixtron Inc.
    Inventors: Johannes Käppeler, Adam Boyd, Victor Saywell, Jan Mulder, Olivier Feron
  • Patent number: 8986451
    Abstract: Described is a linear batch CVD system that includes a deposition chamber, one or more substrate carriers, gas injectors and a heating system. Each substrate carrier is disposed in the deposition chamber and has at least one receptacle configured to receive a substrate. The substrate carriers are configured to hold substrates in a linear configuration. Each gas injector includes a port configured to supply a gas in a uniform distribution across one or more of the substrates. The heating system includes at least one heating element and a heating control module for uniformly controlling a temperature of the substrates. The system is suitable for high volume CVD processing of substrates. The narrow width of the deposition chamber enables a uniform distribution of precursor gases across the substrates along the length of the reaction chamber and permits a greater number of substrates to be processed in comparison to conventional deposition chambers.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: March 24, 2015
    Assignee: Singulus MOCVD GmbH I. GR.
    Inventor: Piero Sferlazzo
  • Patent number: 8986457
    Abstract: An evaporation source assembly used for depositing film on a substrate is provided, the evaporation source assembly comprises: a body comprising a top element, a bottom element and side walls defining a hollow chamber together, the bottom element comprises a plurality of inlet openings communicating with the chamber; a plurality of nozzles located at the top element and communicate with the chamber; a plurality of evaporators for containing and evaporating the evaporating material, which are positioned below the body and correspond to inlet openings respectively; each evaporator has an opening through which the evaporators is connected with corresponding inlet openings; and a plurality of connecting pipes for connecting the opening of the evaporators with the inlet openings, the connecting pipes are tapered pipes with a broad top and a narrow bottom to improve the vapor pressure of the evaporating material in the body to reach pressure balance.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: March 24, 2015
    Assignee: Everdisplay Optronics (Shanghai) Limited
    Inventors: Chinchih Lin, Haoyu Chou, Chunyun Huang
  • Patent number: 8986454
    Abstract: Embodiments of a window assembly are provided herein. In some embodiments, a window assembly for use in a substrate processing system comprising a first window at least partially transparent to light energy; a second window transparent to light energy and substantially parallel to the first window; and a separator disposed proximate the peripheral edges of the first and second windows and defining a sealed gap between the first and second windows, wherein the separator has an inlet and outlet to flow a gas through the sealed gap. In some embodiments, one or more support elements are disposed in the sealed gap to maintain a substantially uniform gap distance between the first and second windows. In some embodiments, a plurality of light adjusting elements are disposed in the gap to adjust one or more properties of light energy that passes through the light adjusting element.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: March 24, 2015
    Assignee: Applied Materials, Inc.
    Inventor: David K. Carlson
  • Publication number: 20150075430
    Abstract: Embodiments of the present disclosure generally relate to a process chamber having a pre-heat ring for heating the process gas. In one embodiment, the process chamber includes a chamber body defining an interior processing region, a substrate support disposed within the chamber body, the substrate support having a substrate support surface for supporting a substrate, and a pre-heat ring positioned on a ring support disposed within the chamber body, wherein a portion of the pre-heat ring is tilted downwardly by a predetermined angle towards the gas exhaust side with respect to the substrate support surface to promote the purge gas flowing more through the gas exhaust side than the gas injection side.
    Type: Application
    Filed: August 15, 2014
    Publication date: March 19, 2015
    Inventors: Kartik SHAH, Shu-Kwan LAU
  • Patent number: 8980044
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes inner and outer zone backside gas pressure sources coupled to the electrostatic chuck for applying a thermally conductive gas under respective pressures to respective inner and outer zones of a workpiece-surface interface formed whenever a workpiece is held on the surface, and inner and outer evaporators inside respective inner and outer zones of the electrostatic chuck and a refrigeration loop having respective inner and cuter expansion valves for controlling flow of coolant through the inner and outer evaporators respectively. The reactor further includes inner and outer zone temperature sensors in inner and outer zones of the electrostatic chuck and a thermal model capable of simulating heat transfer through the inner and outer zones, respectively, between the evaporator and the surface based upon measurements from the inner and outer temperature sensors, respectively.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: March 17, 2015
    Assignee: BE Aerospace, Inc.
    Inventors: Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Patent number: 8980001
    Abstract: A susceptor having a recessed portion and a ring-like step portion is arranged in a reaction chamber, and a plurality of through bores are formed in a bottom wall in the recessed portion excluding the step portion. A lift pin inserted in each of the through bores temporarily holds a wafer, then a lower surface of an outer peripheral portion of the wafer is mounted on the step portion to accommodate the wafer in the recessed portion, and a raw material gas is circulated in the reaction chamber to form an epitaxial layer on a wafer surface in the recessed portion. When forming the epitaxial layer on the wafer surface, the lift pin protrudes upwards from an upper surface of the bottom wall, and a height h of a top portion of the lift pin based on the upper surface of the bottom wall as a reference is set to the range from a position where the height h exceeds 0 mm to a position immediately before the lift pin comes into contact with the wafer.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: March 17, 2015
    Assignee: Sumco Corporation
    Inventors: Masaya Sakurai, Masayuki Ishibashi
  • Patent number: 8973526
    Abstract: A plasma deposition apparatus includes a cathode assembly including a cathode disk and a water-coolable cathode holder supporting the cathode disk, an anode assembly including a water-coolable anode holder, a substrate mounted on the anode holder to serve as an anode, and a substrate holder mounting and supporting the substrate, and a reactor for applying a potential difference between opposing surfaces of the cathode assembly and the anode assembly under a vacuum state to form plasma of a raw gas. The cathode disk comes into thermal contact with the cathode holder using at least one of a self weight and a vacuum absorption force so as to permit thermal expansion of the cathode disk.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: March 10, 2015
    Assignee: Korea Institute of Science and Technology
    Inventors: Wook Seong Lee, Young Joon BaiK, Jong-Keuk Park, Gyu Weon Hwang, Jeung-hyun Jeong
  • Publication number: 20150064340
    Abstract: A system and method for depositing a coating may comprise a coating chemical reactor, surface activation component, and a deposition component. A target surface may be prepared for deposition with the surface activation component. The coating chemical reactor may comprise a coating chemical dispenser and a coating chemical verifier that prepares the coating chemical for deposition. The coating chemical verifier may utilize an optical excitation source and at least one optical detector, wherein chemical substances are identified by unique signatures composed of binary code. The coating chemical may be received by the deposition component to depositing the coating chemical on the target surface.
    Type: Application
    Filed: June 11, 2014
    Publication date: March 5, 2015
    Applicant: University of Houston
    Inventors: Seamus Curran, Nigel Alley, Kang-Shyang Liao, Amrita Haldar
  • Publication number: 20150064352
    Abstract: A multinary nanoparticle film forming system includes: a generating chamber with a plurality of metal materials arranged therein so as to generate multinary nanoparticles from nanoparticles; a film forming chamber with a substrate arranged therein; and granulation units arranged in the generating chamber so as to respectively correspond to the plurality of metal materials. Further, each of the granulation units includes each of containers respectively covering the metal materials, each of heaters respectively arranged in the containers, each of outflow ports respectively provided at the containers so as to enable the nanoparticles to flow out therefrom, and each of inflow ports respectively provided at the containers so as to enable the coolant gas to be respectively introduced into the containers.
    Type: Application
    Filed: January 31, 2013
    Publication date: March 5, 2015
    Applicant: KABUSHIKI KAISHA ATSUMITEC
    Inventors: Naoki Uchiyama, Tomoni Kanai
  • Publication number: 20150059974
    Abstract: Embodiments of the invention may generally provide a method and apparatus that is used to prepare new and used substrate support assemblies for use in typical semiconductor processing environments. Embodiments of the present invention generally relate to a method of coating a new substrate support assembly or a used substrate support assembly that is being refurbished. The deposited coating may include a surface enhancement and/or protective material that is configured to protect one or more of the components exposed to the processing environment during a semiconductor process. The substrate support assembly may be coated with a protective material and during the coating process, the substrate support assembly is maintained at a temperature that is less than or equal to 150° C. by flowing a coolant through channels formed in a base of the substrate support assembly.
    Type: Application
    Filed: October 21, 2013
    Publication date: March 5, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Wendell Glen BOYD, JR., Vijay D. PARKHE, Sehn THACH
  • Patent number: 8967081
    Abstract: Device for treating substrates, comprising a changer having controlled pressure and temperature, a substrate support which is provided in the chamber, the chamber comprising a gas inlet for carrying out a vapor phase deposition, and an upper wall of the chamber provided with a plurality of first channels connected to a first inlet and a plurality of second channels connected to a second inlet, the first and second channels opening into the chamber and being regularly distributed in the upper wall, a heating element provided above the upper wall and a gas discharge ring provided between the upper wall and the substrate support, the upper wall begin electrically conductive and insulated relative to the substrate support so as to be able to apply a voltage between the upper wall and the substrate support.
    Type: Grant
    Filed: April 22, 2009
    Date of Patent: March 3, 2015
    Assignee: Altatech Semiconductor
    Inventors: Christophe Borean, Jean-Luc Delcarri
  • Patent number: 8968512
    Abstract: Provided is a temperature adjusting mechanism, which can keep deviation of a temperature of a portion in contact with the temperature adjusting mechanism to be small by controlling the temperature accurately at a high speed. A semiconductor manufacturing apparatus using such temperature adjusting mechanism is also provided. A cooling jacket (6) is provided with a cooling channel (61), and a heat lane (62). The heat lane (62) is provided with a heat receiving section (63), and a heat dissipating section (64), and seals a two-phase condensable working fluid (hereinafter referred to as the working liquid) in an annular narrow tube alternately folds back and forth therebetween. The heat dissipating section (64) is a portion to be cooled by the cooling channel (61), and the heat receiving section (63) is a portion having a temperature higher than that of the heat dissipating section (64).
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Shinya Nishimoto
  • Publication number: 20150056787
    Abstract: Uniformity of vapor deposited coatings on semiconductor wafers is improved by employing an apparatus having a gas distributor head below a susceptor onto which the wafer is placed, the gas distributor head directing a fan of cooling gas at the rear side of the susceptor. The ratio of the diameter of the cooled section of the susceptor to the diameter D of the wafer is preferably from 0.1 to 0.4.
    Type: Application
    Filed: March 22, 2013
    Publication date: February 26, 2015
    Inventor: Georg Brenninger
  • Patent number: 8961689
    Abstract: Systems and methods for the production of polysilicon or another material via chemical vapor deposition in a reactor are provided in which gas is distributed using a silicon standpipe. The silicon standpipe can be attached to the reactor system using a nozzle coupler such that precursor gases may be injected to various portions of the reaction chamber. As a result, gas flow can be improved throughout the reactor chamber, which can increase the yield of polysilicon, improve the quality of polysilicon, and reduce the consumption of energy.
    Type: Grant
    Filed: March 26, 2009
    Date of Patent: February 24, 2015
    Assignee: GTAT Corporation
    Inventor: Wenjun Qin
  • Publication number: 20150047565
    Abstract: A trap mechanism is provided in the middle of an exhaust passage through which an exhaust gas, which is exhausted from a film formation device body that forms a thin film on the surface of a workpiece (W), flows, and recovers a gas to be collected that is contained in the exhaust gas by cooling and liquefying the gas to be collected. The trap mechanism includes: a housing having a gas inlet and a gas outlet; a partitioning member that partitions the inside of the housing into retention spaces; communication paths that communicate the retention spaces with one another; and cooling jackets that cool the communication paths to cool the exhaust gas. With this structure, the exhaust gas is adiabatically expanded while being cooled, and the gas to be collected is efficiently cooled and liquefied.
    Type: Application
    Filed: March 28, 2013
    Publication date: February 19, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi Komori, Hironori Yagi
  • Patent number: 8956457
    Abstract: A thermal processing system and method for curing a dielectric film. The thermal processing system is configured to treat the dielectric film with ultraviolet (UV) radiation and infrared (IR) radiation in order to cure the dielectric film. The thermal processing system can include an array if IR and UV light-emitting devices (LEDs) configured to irradiate a substrate having a low dielectric constant (low-k) film. The method dries the dielectric film to remove contaminants from the film and exposes the dielectric film at a single stage to ultraviolet radiation and IR radiation.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: February 17, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Eric M. Lee, Junjun Liu, Dorel I. Toma
  • Patent number: 8955579
    Abstract: There is provided a means for uniformly controlling the in-plane temperature of a semiconductor wafer at high speed in a high heat input etching process. A refrigerant channel structure in a circular shape is formed in a sample stage. Due to a fact that a heat transfer coefficient of a refrigerant is largely changed from a refrigerant supply port to a refrigerant outlet port, the cross sections of the channel structure is structured so as to be increased from a first channel areas towards a second channel areas in order to make the heat transfer coefficient of the refrigerant constant in the refrigerant channel structure. Thereby, the heat transfer coefficient of the refrigerant is prevented from increasing by reducing the flow rate of the refrigerant at a dry degree area where the heat transfer coefficient of the refrigerant is increased.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: February 17, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takumi Tandou, Kenetsu Yokogawa, Masaru Izawa
  • Patent number: 8956458
    Abstract: A vapor deposition device includes a vapor deposition chamber, a heating chamber, a mixing chamber, a first reservoir for storing trichlorosilane gas, and a second reservoir for storing silane gas that reacts with hydrochloric acid gas. The heating chamber communicates with the first reservoir and the mixing chamber, heats the trichlorosilane gas and then supplies the heated gas to the mixing chamber. The mixing chamber communicates with the second reservoir and the vapor deposition chamber, mixes the heated gas supplied from the heating chamber and the silane gas and then supplies the mixed gas to the vapor deposition chamber. A temperature in the heating chamber is higher than a temperature in the mixing chamber.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: February 17, 2015
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Takahiro Kozawa, Kenji Nakashima, Keeyoung Jun, Takahiro Ito
  • Publication number: 20150044814
    Abstract: A method and system for forming chalcogenide semiconductor absorber materials with sodium impurities is provided. The system includes a sodium vaporizer in which a solid sodium source material is vaporized. The sodium vapor is added to reactant gases and/or annealing gases and directed to a furnace that includes a substrate with a metal precursor material. The precursor material reacts with reactant gases such as S-containing gases and Se-containing gases according to various process sequences. In one embodiment, a selenization operation is followed by an annealing operation and a sulfurization operation and the sodium vapor is caused to react with the metal precursor during at least one of the annealing and the sulfurization steps to produce a chalcogenide semiconductor absorber material that includes sodium dopant impurities.
    Type: Application
    Filed: August 9, 2013
    Publication date: February 12, 2015
    Applicant: TSMC Solar Ltd.
    Inventors: Chung-Hsien WU, Wen-Tsai YEN, Jyh-Lih WU
  • Patent number: 8950470
    Abstract: Preferably, obtaining internal and external thermal measurement values of a sealed process chamber allows a control system to generate a control signal based on a comparison of the internal and external thermal measurement values to the predetermined value. The control signal is provided to a fluid handling system, wherein the fluid handling system modulates flow of a first fluid around the exterior of the sealed process chamber. The control signal is further provided to a closed loop heat exchange system, wherein the closed loop heat exchange system modulates flow of a second fluid within an interior cavity of the sealed process chamber based on the control signal. The control signal is still further provided to an open loop heat exchange system, wherein the open loop heat exchange system modulates flow of a third fluid within the interior of cavity of the sealed process chamber.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: February 10, 2015
    Assignee: Poole Ventura, Inc.
    Inventors: Mark R. Erickson, Aaron L. Dingus, Arthur W. Custer, III, Henry J. Poole, Nader Jamshidi
  • Publication number: 20150030846
    Abstract: To improve the single crystallinity of a stacked film in which a ZrO2 film and a Y2O3 film are stacked or a YSZ film. A crystal film includes a Zr film and a stacked film in which a ZrO2 film and a Y2O3 film formed on the Zr film are stacked, and has a peak half-value width when the stacked film is evaluated by X-ray diffraction being 0.05° to 2.0°.
    Type: Application
    Filed: July 18, 2014
    Publication date: January 29, 2015
    Inventors: Takeshi KIJIMA, Yuuji HONDA
  • Publication number: 20150027375
    Abstract: A deposition source for a deposition device includes a crucible. A heater is disposed outside of the crucible and the heater has a plate shape. The deposition source includes a heater guide. The heater is disposed within the heater guide, and the heater guide supports the heater. An external supporter is connected to the heater guide.
    Type: Application
    Filed: November 18, 2013
    Publication date: January 29, 2015
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventor: YONG-JUN CHA
  • Publication number: 20150031164
    Abstract: Vapor deposition apparatus for forming stacked thin films on discrete photovoltaic module substrates conveyed in a continuous non-stop manner through the apparatus are provided. The apparatus includes a first sublimation compartment positioned over a first deposition area of said apparatus, a second sublimation compartment positioned over a second deposition area of said apparatus, and an internal divider positioned therebetween and defining a middle seal member. An actuator is attached to the internal divider and is configured to move the internal divider to control intermixing of first source material vapors and second source material vapors within the first deposition area and the second deposition area. Methods are also generally provided for depositing stacked thin films on a substrate.
    Type: Application
    Filed: July 26, 2013
    Publication date: January 29, 2015
    Applicant: First Solar, Inc.
    Inventor: Mark Jeffrey Pavol
  • Patent number: 8940097
    Abstract: Provided is a vertical heat treatment apparatus which performs a film-forming process for substrates by supplying a film-forming gas to a plurality of substrates loaded onto a substrate supporter. The substrate supporter is rotated around an inclination axis, and the apparatus includes: a plurality of main holders which are provided at every reception position of the substrates in the substrate supporter and respectively supports the peripheries of the substrates at positions separated from each other in the circumferential direction; and first and second auxiliary holders which are located to be separated from the main holders in the circumferential direction and whose tops are lower than those of the main holders. Each substrate alternates between a position supported by the first auxiliary holder and the main holders and a position supported by the second auxiliary holder and the main holders every rotation of the substrate supporter.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: January 27, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Kazuhide Hasebe
  • Publication number: 20150024538
    Abstract: An apparatus includes a manifold coupled to a vapor source, the manifold having a plurality of nozzles, an inner cylinder, and an outer cylinder containing the inner cylinder with a space defined between the inner and outer cylinders. One of the inner cylinder or outer cylinder is rotatable with respect to the other of the inner cylinder or outer cylinder. The outer cylinder has an inlet coupled to the manifold to receive vapor from the nozzles. The outer cylinder has an outlet for dispensing the vapor.
    Type: Application
    Filed: July 19, 2013
    Publication date: January 22, 2015
    Applicant: TSMC Solar Ltd.
    Inventor: Shih-Wei Chen
  • Publication number: 20150024540
    Abstract: In an apparatus for producing thin layers on substrates for solar cell production, wherein the thin layers are applied by an APCVD process at temperatures of more than 250° C., the substrates are conveyed on a horizontal conveyor path and coated by means of an APCVD coating in continuous operation. The conveyor path has conveyor rollers, which consist of a temperature-resistant, non-metallic material, preferably of ceramic. A heating device and/or a purge gas feeding device is/are arranged on that side of the conveyor path which is remote from the coating apparatus.
    Type: Application
    Filed: July 26, 2012
    Publication date: January 22, 2015
    Inventors: Christian Schmid, Dirk Habermann, Jurgen Haungs, Chuck Attema, Tom Stewart, Kenneth Provancha
  • Publication number: 20150013595
    Abstract: A silicon carbide growth method for growing a silicon carbide crystal on a substrate in a hot wall reaction chamber heated to a temperature between 1600° C. and 2000° C. Process gases enter the reaction chamber utilizing at least a primary gas flow, a secondary gas flow, and a shower gas flow. The shower gas flow is fed substantially perpendicularly to the primary and secondary gas flows and is directed towards the substrate. The primary and secondary gas flows are oriented substantially parallel to the surface of the substrate. A silicon precursor gas is entered by the primary gas flow. A hydrocarbon precursor gas is entered in at least one of the primary gas flow, the secondary gas flow, or the shower gas flow. Hydrogen is entered primarily in the secondary flow and the shower head flow. A CVD reactor chamber for use in processing the method.
    Type: Application
    Filed: January 29, 2013
    Publication date: January 15, 2015
    Inventors: Erik Janzén, Olof Kordina
  • Publication number: 20150017757
    Abstract: A method for forming thin film solar cell materials introducing a first inert gas mixture that includes hydrogen selenide into a chamber at a first pressure value until the chamber reaches a second pressure value and at a first temperature value, wherein the second pressure value is a predefined percentage of the first pressure value. The temperature in the chamber is increased to a second temperature value for a selenization process so that the pressure in the chamber increases to a third pressure value. Residual gas that is generated during the selenization process can be removed from the chamber. A second inert gas mixture that includes hydrogen sulfide is added into the chamber until the chamber reaches a fourth pressure value. The temperature in the chamber is increased to a third temperature value for a sulfurization process. The chamber is cooled after the sulfurization process.
    Type: Application
    Filed: July 10, 2013
    Publication date: January 15, 2015
    Inventors: Kwang-Ming LIN, Chi-Wei LIU, Wen-Cheng KUO
  • Patent number: 8932405
    Abstract: A reactor arrangement for layer deposition on a plurality of substrates (hereafter substrates) comprising a first reactor chamber for simultaneous cleaning the substrates, at least one second reactor chamber for depositing at least one layer on each of the substrates, a first heating device for setting the substrate temperature of the substrates in the first reactor chamber, a second heating device for setting the substrate temperature of the substrates in the second reactor chamber, a device for producing a gas atmosphere of predetermined composition and predetermined pressure, a transport device for transporting the substrates simultaneously from the first to the second reactor chamber, and a control device for controlling the heating devices and device for producing the gas atmosphere in such a way that the substrates are moved or stored in an interruption-free manner in a reducing gas atmosphere as long as the substrate temperature is above critical temperature Tc.
    Type: Grant
    Filed: May 10, 2005
    Date of Patent: January 13, 2015
    Assignee: IHP GmbH—Innovations for High Performance Microelectronics/Institut fur Innovative Mikroelektronik
    Inventors: Thomas Grabolla, George Ritter, Bernd Tillack
  • Patent number: 8931429
    Abstract: This disclosure relates to a thermal spray coating system including a table that is configured to support a component. A spray torch is configured to direct a thermal spray at a component surface. A cooling device is arranged adjacent to spray torch and configured to be in close proximity to the component surface. The cooling device includes a manifold that is connected to an air supply. The manifold has a face with multiple impingement holes less than one-eighth inch (3.1 mm) in diameter that are configured to direct cooling air on the component surface. In one example, the diameter of the impingement holes is approximately 0.059 inch (1.5 mm) and provide cooling air at a velocity of at least 400 ft per second (122 meters per second). The face is arranged within one inch (25 mm) of the component surface, in one example. Accordingly, the above cooling device uses less air and yields more consistent results in thermal coating of the component.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: January 13, 2015
    Assignee: United Technologies Corporation
    Inventors: Christopher W. Strock, Dale R. Doucette
  • Patent number: 8933375
    Abstract: A wafer processing apparatus may include a susceptor having a top side and a backside, a susceptor heater having a spacing member and a heating member, a shim removably mounted between the susceptor and the susceptor heater, a cavity formed by the susceptor backside, the susceptor heater, and the shim, a fluid inlet communicating with the cavity, and a plurality of fluid outlets communicating with the cavity.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: January 13, 2015
    Assignee: ASM IP Holding B.V.
    Inventors: Todd Dunn, Fred Alokozai, Jerry Winkler, Michael Halpin
  • Patent number: 8927459
    Abstract: A method is provided for inhibiting plant growth by inducing hypothermia by applying a treating substance to summer annual weeds and grasses. Susceptible undesirable plants will be killed by this treatment, while non-susceptible desirable plants will not be adversely affected by this treatment. Compressed carbon dioxide may be applied to other summer grasses to cause the grasses to become dormant. An apparatus is provided for inducing hypothermia in undesirable plants by applying a treating substance. A canister capable of housing gas may be provided. A valve may be provided to control the amount and pressure of gas dispensed from the canister.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: January 6, 2015
    Assignee: Arctic, Inc.
    Inventors: Robby James Howerton, Gina Marie Stewart, Steven Lee Worm