By Means To Heat Or Cool Patents (Class 118/724)
  • Patent number: 8920562
    Abstract: An object is to improve use efficiency of an evaporation material, to reduce manufacturing cost of a light-emitting device, and to reduce manufacturing time needed for a light-emitting device including a layer containing an organic compound. The pressure of a film formation chamber is reduced, a plate is rapidly heated by heat conduction or heat radiation by using a heat source, a material layer on a plate is vaporized in a short time to be evaporated to a substrate on which the material layer is to be formed (formation substrate), and then the material layer is formed on the formation substrate. The area of the plate that is heated rapidly is set to have the same size as the formation substrate and film formation on the formation substrate is completed by one application of heat.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: December 30, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hisao Ikeda, Tomoya Aoyama, Takahiro Ibe, Yoshiharu Hirakata, Shunpei Yamazaki
  • Patent number: 8920564
    Abstract: A substrate support may include a body; an inner ring disposed about the body; an outer ring disposed about the inner ring forming a first opening therebetween; a first seal ring disposed above the first opening; a shadow ring disposed above the inner ring, extending inward from the outer ring and forming a second opening between the shadow and outer rings; a second seal ring disposed above the second opening; a space at least partially defined by the body and the inner, outer, first, second, and shadow rings; a first gap defined between a processing surface of a substrate when present and the shadow ring; and a plurality of second gaps fluidly coupled to the space; wherein the first gap and the plurality of second gaps are configured such that, when a substrate is present, a gas provided to the space flows out of the space through the first gap.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: December 30, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Gwo-Chuan Tzu, Xiaoxiong Yuan, Amit Khandelwal, Benjamin Cheng Wang, Avgerinos V. Gelatos, Kai Wu, Michael P. Karazim, Jing Lin, Olkan Cuvalci
  • Patent number: 8921740
    Abstract: A chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater. The temperature-controlled base has a temperature below the desired temperature of a workpiece. The thermal insulator is disposed over the temperature-controlled base. The flat support holds a workpiece and is disposed over the thermal insulator. A heater is embedded within the flat support and/or disposed on an underside of the flat support. The heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently.
    Type: Grant
    Filed: August 13, 2013
    Date of Patent: December 30, 2014
    Assignee: Lam Research Corporation
    Inventors: Neil Benjamin, Robert J. Steger
  • Publication number: 20140377964
    Abstract: The disclosure relates to an apparatus for oxidation and annealing processes comprising: a chamber; an oxidizing unit located in the chamber, where an oxidizing process for a subject to be processed is conducted; and an annealing unit located in the chamber, where an annealing process for the subject to be processed is conducted. Further, The disclosure relates to a method for the oxidation and annealing processes comprising: preparing a chamber comprising an oxidizing unit and an annealing unit; preparing a subject to be processed on a susceptor located in the oxidizing unit; oxidizing the subject to be processed; converting atmosphere of the oxidizing unit; transferring the subject to be processed to the annealing unit; and annealing the subject to be processed.
    Type: Application
    Filed: August 10, 2012
    Publication date: December 25, 2014
    Applicant: LG INNOTEK CO., LTD.
    Inventors: Seon Heo, Chang Hyun Son
  • Patent number: 8916001
    Abstract: Molds that have coated mold surfaces, as well as methods and components associated with such molds, are provided. The mold surface coatings may be formed of a polymeric material, such as polytetrafluoroethylene (PTFE), and may be very thin (e.g., 50 microns or less). The coatings may facilitate the release of articles formed in the mold and may also reduce, or eliminate, the build up of contaminants on mold surfaces during processing which, thus, increases mold lifetime. The coatings may be formed in a chemical vapor deposition process with process conditions selected to enable formation of uniform, conformal coatings, even on mold features having small dimensions and/or high aspect ratios. The coatings are particularly well suited to be used in connection with rubber tires molds, though also can be used in other types of molds and articles.
    Type: Grant
    Filed: April 5, 2006
    Date of Patent: December 23, 2014
    Assignee: GVD Corporation
    Inventors: Hilton G. Pryce Lewis, Aleksandr J. White, Michael E. Stazinski
  • Patent number: 8916793
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber via pulsed application of heating power and pulsed application of cooling power. In an embodiment, temperature control is based at least in part on a feedforward control signal derived from a plasma power input into the processing chamber. In further embodiments, fluid levels in each of a hot and cold reservoir coupled to the temperature controlled component are maintained in part by a passive leveling pipe coupling the two reservoirs. In another embodiment, digital heat transfer fluid flow control valves are opened with pulse widths dependent on a heating/cooling duty cycle value and a proportioning cycle having a duration that has been found to provide good temperature control performance.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: December 23, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Fernando M. Silveira, Hamid Tavassoli, Xiaoping Zhou, Shane C. Nevil, Douglas A. Buchberger, Brad L. Mays, Tina Tsong, Chetan Mahadeswaraswamy, Yashaswini B. Pattar, Duy D. Nguyen, Walter R. Merry
  • Publication number: 20140352619
    Abstract: A chemical vapor deposition reactor and method. Reactive gases, such as gases including a Group III metal source and a Group V metal source, are introduced into a rotating-disc reactor and directed downwardly onto a wafer carrier and substrates which are maintained at an elevated substrate temperature, typically above about 400° C. and normally about 700-1100° C. to deposit a compound such as a III-V semiconductor. The gases are introduced into the reactor at an inlet temperature desirably above about 75° C. and most preferably about 100°-250° C. The walls of the reactor may be at a temperature close to the inlet temperature. Use of an elevated inlet temperature allows the use of a lower rate of rotation of the wafer carrier, a higher operating pressure, lower flow rate, or some combination of these.
    Type: Application
    Filed: August 20, 2014
    Publication date: December 4, 2014
    Inventors: Alexander I. Gurary, Mikhail Belousov, Bojan Mitrovic
  • Publication number: 20140357058
    Abstract: There is provided a substrate processing apparatus including: a process chamber configured to accommodate and process a plurality of substrates arranged with intervals therebetween; a first nozzle extending along a stacking direction of the substrates and configured to supply a hydrogen-containing gas into the process chamber; and a second nozzle extending along the stacking direction of the substrates and configured to supply an oxygen-containing gas into the process chamber, wherein the first nozzle includes a plurality of first gas supply holes disposed in a region extending from an upper portion to a lower portion of the first nozzle corresponding to a substrate arrangement region where the substrates are arranged, and the second nozzle includes a plurality of second gas supply holes disposed at an upper portion and a lower portion of the second nozzle to correspond to upper substrates and lower substrates of the substrates.
    Type: Application
    Filed: June 2, 2014
    Publication date: December 4, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Kosuke TAKAGI, Naonori AKAE, Masato TERASAKI, Mikio OHNO
  • Publication number: 20140352618
    Abstract: A system for forming a graphene on a substrate includes a reactor having a gas inlet and a gas outlet; a substrate placed in a lower side of the reactor; a carbon-containing heating element located in reactor; which is exposed to the same gases with the substrate; the heating element being used as a heating source to heat the substrate and also as a carbon source for forming a graphene film on a substrate; at least one process gas inputted from the gas inlet; and after reaction, the process gas outputs from the gas outlet. The heating element is powered on by a power source and the heating element is heated; by controlling the feeding of process gas, small amount of carbon can be transported to the surface of the substrate for graphene growth; and thus, a graphene sheet is formed on the substrate.
    Type: Application
    Filed: June 4, 2013
    Publication date: December 4, 2014
    Inventor: XUESONG LI
  • Patent number: 8900366
    Abstract: A tool for depositing multilayer coatings onto a substrate. In one configuration, the tool includes a includes an in-line organic material deposition station operating under at least one of a pressure or temperature controlled environment. In another, it further is of a hybrid design that incorporates both in-line and cluster tool features. In this latter configuration, at least one of the deposition stations is configured to deposit an inorganic layer, while at least one other deposition station is configured to deposit an organic layer. The tool is particularly well-suited to depositing multilayer coatings onto discrete substrates, as well as to encapsulating environmentally-sensitive devices placed on the flexible substrate.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: December 2, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Martin Philip Rosenblum, Xi Chu, Lorenza Moro, Kenneth Jeffrey Nelson, Paul Burrows, Mark E. Gross, Mac R. Zumhoff, Peter M. Martin, Charles C. Bonham, Gordon L. Graff
  • Publication number: 20140349012
    Abstract: The invention relates to a method for drying, modelling and/or thermally modifying wooden parts, comprising the steps of: a) arranging the wooden parts in a bath; b) filling the bath with a liquid, for instance paraffin or (linseed) oil, wherein the wooden parts are sealed from the ambient air by the liquid; c) the liquid being heated for some time, preferably between 100° C. and 300° C., for the purpose of drying, modelling and/or thermally modifying the wooden parts; d) removing the wooden parts from the bath. The invention also relates to a device for performing the method according to the invention for drying, modelling and/or thermally modifying wooden parts, comprising:—a bath adapted to receive the wooden parts, which bath is intended to receive a liquid such as paraffin or (linseed) oil—heating means for heating the liquid. Finally, the invention relates to a covering and rack as parts of the device according to the invention.
    Type: Application
    Filed: December 21, 2012
    Publication date: November 27, 2014
    Inventor: Jan LANGKAMP
  • Patent number: 8895889
    Abstract: Methods and apparatus for regulating the temperature of a component in a plasma-enhanced process chamber are provided herein. In some embodiments, an apparatus for processing a substrate includes a process chamber and an RF source to provide RF energy to form a plasma in the process chamber. A component is disposed in the process chamber so as to be heated by the plasma when formed. A heater is configured to heat the component and a heat exchanger is configured to remove heat from the component. A chiller is coupled to the heat exchanger via a first flow conduit having an on/off flow control valve disposed therein and a bypass loop to bypass the flow control valve, wherein the bypass loop has a flow ratio valve disposed therein.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: November 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Chunlei Zhang, Richard Fovell, Ezra Robert Gold, Ajit Balakrishna, James P. Cruse
  • Patent number: 8894768
    Abstract: A substrate processing apparatus that simultaneously forms thin films on a plurality of substrates and performs heat treatment includes: a plurality of substrate holders, each including a substrate support that supports a substrate and a first gas pipe having one or a plurality of injection holes; a boat where the plurality of substrate holders are stacked and including a second gas pipe connected with the first gas pipe of each of the substrate holders; a process chamber providing a space in which the substrates stacked in the boat are processed; a conveying unit that carries the boat into/out of the process chamber; a first heating unit disposed outside the process chamber; and a gas supply unit including a third gas pipe connected with the second gas pipe and supplying a heated or cooled gas into the second gas pipe.
    Type: Grant
    Filed: January 6, 2011
    Date of Patent: November 25, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Byoung-Keon Park, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Heung-Yeol Na, Tae-Hoon Yang, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Won-Bong Baek, Ivan Maidanchuk, Byung-Soo So, Jae-Wan Jung
  • Patent number: 8895414
    Abstract: A method of forming an amorphous silicon film includes: forming a seed layer on a surface of a base by heating the base and supplying an amino silane-based gas to the heated base, forming the amorphous silicon film with thickness for layer growth on the seed layer by heating the base and supplying a silane-based gas containing no amino group to the seed layer on the surface of the heated base, and decreasing a film thickness of the amorphous silicon film by etching the amorphous silicon film formed with thickness for layer growth.
    Type: Grant
    Filed: July 3, 2014
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Akinobu Kakimoto, Satoshi Takagi, Kazumasa Igarashi
  • Publication number: 20140335684
    Abstract: A manufacturing method for a semiconductor device includes implanting dopants into a silicon carbide substrate, applying a carbon-containing material on at least one surface of the silicon carbide substrate, and heating the silicon carbide substrate having the carbon-containing material applied thereon to form a carbon layer on surfaces of the silicon carbide substrate. The heating is performed in a non-oxidizing atmosphere, and is followed by another heating step for activating the dopants.
    Type: Application
    Filed: February 28, 2014
    Publication date: November 13, 2014
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Makoto MIZUKAMI, Naoko YANASE, Atsuko YAMASHITA
  • Patent number: 8882913
    Abstract: The present invention is related to an apparatus and a method for chemical vapor deposition (CVD) using a showerhead through which a reactive gas of at least one kind and a purge gas is injected over a substrate on which a film is growing. A plural number of reactive gas showerhead modules are laid on a purge gas showerhead module. Each reactive gas is injected from a bottom of the showerhead after flowing through the showerhead as separated, thereby preventing the reactive gases from causing homogeneous gas phase reactions and from generating unwanted particles at the inside of the showerhead. And a purge gas is injected from the bottom surface of the showerhead by forming a protective curtain, thereby suppressing diffusion of the reactive gas injected backwardly.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: November 11, 2014
    Assignees: Piezonics Co., Ltd, Korea Institute of Industrial Technology
    Inventors: Chul Soo Byun, Man Cheol Han
  • Publication number: 20140326184
    Abstract: A cooling pedestal for supporting a substrate, comprises a support structure having cooling conduits to flow a fluid therethrough to cool the substrate, and a contact surface comprising a coating of a diamond-like carbon. The coating comprises (i) a coefficient of friction of less than about 0.3, (ii) an average surface roughness of less than about 0.4 micrometers, and (iii) a microhardness of at least about 8 GPa.
    Type: Application
    Filed: July 21, 2014
    Publication date: November 6, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Vijay D. PARKHE, Kurt J. AHMANN, Matthew C. TSAI, Steve SANSONI
  • Patent number: 8876976
    Abstract: Disclosed is a chemical vapor deposition apparatus for equalizing a heating temperature, which maintains the heating temperature of a heater provided therein uniform not only on the lower surface of the heater but also on the upper surface thereof, so that a thin film having a uniform thickness is deposited on a wafer. In order to maintain the heating temperature of the heater of the chemical vapor deposition apparatus uniform, the chemical vapor deposition apparatus includes a thermal insulation reflecting plate for reflecting heat from the lower surface of the heater and a heat dissipation member disposed between the thermal insulation reflecting plate and the heater to be in direct contact with the area of the heater having a high temperature, or includes a heat dissipation member mounted underneath the area of the heater having a high temperature.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: November 4, 2014
    Assignee: Eugene Technology Co., Ltd.
    Inventor: Pyung-yong Um
  • Patent number: 8869376
    Abstract: A substrate mounting table includes a plate shaped member provided with a mounting surface for mounting a substrate thereon, a plurality of gas injection openings opened on the mounting surface to supply a gas toward the mounting surface, and a gas supply channel for supplying the gas through the gas injection openings; and a thermally sprayed ceramic layer covering the mounting surface. At least inner wall portions of the gas supply channel are formed in curved surface shapes, the inner wall portions facing the gas injection openings.
    Type: Grant
    Filed: July 18, 2013
    Date of Patent: October 28, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Takehiro Ueda, Yoshiyuki Kobayashi, Kaoru Oohashi
  • Patent number: 8858753
    Abstract: There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Jun Yamawaku, Tatsuo Matsudo, Masashi Saito
  • Patent number: 8858713
    Abstract: Disclosed is an apparatus for depositing a thin film of material on a substrate and a regeneration process. The apparatus includes a chamber, a cryogenic panel disposed inside the chamber, a sample holder able to support a substrate, a gas injector able to inject a gaseous precursor into the chamber, a first trap connected to the vacuum chamber and able to trap a part of the gaseous precursor released by the cryogenic panel, the first trap having a fixed pumping capacity S1. The apparatus for depositing a thin film of material on a substrate includes a second trap having a variable pumping capacity S2 able to be regulated in function of the gaseous precursor partial pressure, the first and second trap providing a total pumping capacity S=S1+S2 sufficient to maintain the gaseous precursor partial pressure in the vacuum chamber under a determined pressure PL.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: October 14, 2014
    Assignee: Riber
    Inventors: Jerome Villette, Valerick Cassagne, Catherine Chaix
  • Publication number: 20140299060
    Abstract: A thin film deposition apparatus includes a vacuum chamber, a substrate supporter disposed in the vacuum chamber to support a target substrate on which a thin film is deposited, and a deposition source that evaporates a deposition material and supplies the evaporated deposition material to the target substrate. The deposition source includes a crucible that includes a deposition material-containing portion to accommodate the deposition material and a first flange at an upper end of the deposition material-containing portion, a spray nozzle that includes a spray portion through which the evaporated deposition material is sprayed and a second flange at a lower end of the spray portion to make contact with the first flange, and a cooling member attached to an outer surface of the first flange and the second flange.
    Type: Application
    Filed: September 5, 2013
    Publication date: October 9, 2014
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: HeungYeol NA, Jae hong AHN, Wonsik HYUN
  • Patent number: 8851886
    Abstract: Provided is a substrate processing apparatus. The substrate processing apparatus includes a reaction tube; a heating device configured to heat the reaction tube; and a manifold installed outward as compared with the heating device and made of a non-metallic material. A first thickness of the manifold defined in a direction perpendicular to a center axis of the reaction tube is greater than a second thickness of the manifold defined at a position adjacent to the reaction tube in a direction parallel to the center axis of the reaction tube. The manifold includes a protrusion part of which at least a portion protrudes inward more than an inner wall of the reaction tube, and a gas supply unit disposed at at least the protrusion part for supplying gas to an inside of the reaction tube.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: October 7, 2014
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Shinya Morita, Akihiro Sato, Akinori Tanaka, Shigeo Nakada, Takayuki Nakada, Shuhei Saido, Tomoyuki Matsuda
  • Patent number: 8852460
    Abstract: Methods and compositions for the deposition of a film on a substrate. In general, the disclosed compositions and methods utilize a precursor containing calcium or strontium.
    Type: Grant
    Filed: March 18, 2009
    Date of Patent: October 7, 2014
    Assignees: Air Liquide Electronics U.S. LP, American Air Liquide, Inc.
    Inventors: Olivier Letessier, Christian Dussarrat, Benjamin J. Feist, Vincent M. Omarjee
  • Patent number: 8852347
    Abstract: A gas heating device and a processing system for use therein are described for depositing a thin film on a substrate using a vapor deposition process. The gas heating device includes a heating element array having a plurality of heating element zones configured to receive a flow of a film forming composition across or through said plurality of heating element zones in order to cause pyrolysis of one or more constituents of the film forming composition when heated. Additionally, the processing system may include a substrate holder configured to support a substrate. The substrate holder may include a backside gas supply system configured to supply a heat transfer gas to a backside of said substrate, wherein the backside gas supply system is configured to independently supply the heat transfer gas to multiple zones at the backside of the substrate.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Eric M. Lee, Jacques Faguet, Eric J. Strang
  • Patent number: 8852388
    Abstract: The present invention relates to a plasma processor capable of regulating the temperature of the inner surface of the processing chamber efficiently and with excellent response, with a low-cost configuration. A plasma processor 1 includes a processing chamber 11, a processing gas supply device 20, an exhaust device 40, coils 23, a high-frequency power supply unit 24, a heater 26, a cooling device 30, and a control device 50. The cooling device 30 is configured with a cooling member 32 facing the processing chamber 11 at a distance therefrom, a cooling fluid supply section 31 for supplying cooling fluid into a cooling passage 32a of the cooling member 32 and circulates it, and annular seal members 35 and 36 provided between the cooling member 32 and the processing chamber 11. The exhaust device 40 reduces the pressure in a space S surrounded by the seal members 35 and 36, the cooling member 32, and the processing chamber 11.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: October 7, 2014
    Assignee: SPP Technologies Co., Ltd.
    Inventor: Toshihiro Hayami
  • Patent number: 8852348
    Abstract: A substrate heat exchange pedestal comprises: (i) a support structure having a contact surface comprising a coating of a diamond-like material, and (ii) a heat exchanger in the support structure, the heat exchanger capable of heating or cooling a substrate.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: October 7, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Vijay D Parkhe, Kurt J Ahmann, Matthew C Tsai, Steve Sansoni
  • Publication number: 20140295600
    Abstract: An evaporation source assembly used for depositing film on a substrate is provided, the evaporation source assembly comprises: a body comprising a top element, a bottom element and side walls defining a hollow chamber together, the bottom element comprises a plurality of inlet openings communicating with the chamber; a plurality of nozzles located at the top element and communicate with the chamber; a plurality of evaporators for containing and evaporating the evaporating material, which are positioned below the body and correspond to inlet openings respectively; each evaporator has an opening through which the evaporators is connected with corresponding inlet openings; and a plurality of connecting pipes for connecting the opening of the evaporators with the inlet openings, the connecting pipes are tapered pipes with a broad top and a narrow bottom to improve the vapor pressure of the evaporating material in the body to reach pressure balance.
    Type: Application
    Filed: July 30, 2013
    Publication date: October 2, 2014
    Applicant: EverDisplay Optronics (Shanghai) Limited
    Inventors: Chinchih Lin, Haoyu Chou, Chunyun Huang
  • Publication number: 20140295062
    Abstract: According to one embodiment, an apparatus of manufacturing a radiation detection panel, includes an evaporation source configured to evaporate a scintillator material and emit the scintillator material vertically upward, a holding mechanism located vertically above the evaporation source, and holding a photoelectric conversion substrate, and a heat conductor arranged opposite to the holding mechanism with a gap.
    Type: Application
    Filed: June 11, 2014
    Publication date: October 2, 2014
    Applicants: Kabushiki Kaisha Toshiba, Toshiba Electron Tubes & Devices Co., Ltd.
    Inventors: Hitoshi CHIYOMA, Atsuya YOSHIDA, Wataru MATSUYAMA, Toyoo YAMAMOTO, Hiroshi AIDA, Yuichi SHIMBA
  • Publication number: 20140295106
    Abstract: An epitaxial reactor enabling simultaneous deposition of thin films on a multiplicity of wafers is disclosed. During deposition, a number of wafers are contained within a wafer sleeve comprising a number of wafer carrier plates spaced closely apart to minimize the process volume. Process gases flow preferentially into the interior volume of the wafer sleeve, which is heated by one or more lamp modules. Purge gases flow outside the wafer sleeve within a reactor chamber to minimize wall deposition. In addition, sequencing of the illumination of the individual lamps in the lamp module may further improve the linearity of variation in deposition rates within the wafer sleeve. To improve uniformity, the direction of process gas flow may be varied in a cross-flow configuration. Combining lamp sequencing with cross-flow processing in a multiple reactor system enables high throughput deposition with good film uniformities and efficient use of process gases.
    Type: Application
    Filed: March 4, 2014
    Publication date: October 2, 2014
    Applicant: CRYSTAL SOLAR, INCORPORATED
    Inventors: Visweswaren Sivaramakrishnan, Kedarnath SANGAM, Tirunelveli S. RAVI, Andrzej KASZUBA, Quoc VINH
  • Patent number: 8840723
    Abstract: An apparatus for manufacturing polycrystalline silicon whereby raw-material gas is supplied to one or more heated silicon seed rods provided vertically in a reactor so as to deposit the polycrystalline silicon on a surface of the silicon seed rod, having a seed rod holding member, made of conductive material, having a holding hole in which a lower end of the silicon seed rod is inserted, the holding hole having a horizontal cross-sectional shape with at least two corners, and the holding member having a screw hole extending from the outer surface of the seed rod holding member to at least the holding hole and formed at the location of at least two corners of the holding hole; and a fixing screw which fixes the silicon seed rod and is threaded through at least one of the screw holes.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: September 23, 2014
    Assignee: Mitsubishi Materials Corporation
    Inventors: Toshihide Endoh, Masayuki Tebakari, Toshiyuki Ishii, Masaaki Sakaguchi
  • Publication number: 20140261183
    Abstract: A coating apparatus including an evaporation part, a thermal decomposition part, a deposition chamber, a vacuum pump, and a discharge pipe. The deposition chamber includes an upper portion, a lower portion facing the upper portion, and a sidewall portion connecting the upper portion and the lower portion to each other and including an inlet, first outlet, a second outlet, a third outlet and a fourth outlet. The discharge pipe includes a first auxiliary pipe connected to the first outlet and the second outlet, a second auxiliary pipe connected to the third outlet and the fourth outlet, an intermediate pipe connected to the first auxiliary pipe and the second auxiliary pipe, and a main pipe connected to the intermediate pipe. The vacuum pump is configured to discharge a portion of the monomer of the deposition material, which is not deposited, from the deposition chamber through the discharge pipe.
    Type: Application
    Filed: July 15, 2013
    Publication date: September 18, 2014
    Inventors: JAE CHEOL PARK, Chunghyuk Lee, Daeho Song, Nakcho Choi, Gyeongeun Eoh, Minjeong Oh, Min-Woo Lee, Sangkyun Bae
  • Publication number: 20140273335
    Abstract: An apparatus for deposition of a plurality of elements onto a solar cell substrate comprising: a housing; a transporting apparatus to transport said substrate in and out of said housing; a first tubing apparatus to deliver powders of a first elements to said housing wherein said first tubing apparatus is comprised of a first feeder tube located outside of said housing and joined to said housing; a first source material tube located outside of said housing and joined to said feeder tube; a valves located inside of said first source material tube sufficient to block access between said first source material tube and said first feeder tube; a first heating tube located inside of said housing and connected to said first feeder tube; a second tubing apparatus to deliver powders of a second elements to said housing wherein said second tubing apparatus is comprised of a second feeder tube located outside of said housing and joined to said housing; a second source material tube located outside of said housing and joi
    Type: Application
    Filed: February 18, 2014
    Publication date: September 18, 2014
    Inventor: Jehad A. Abushama
  • Publication number: 20140261159
    Abstract: When a reaction chamber defined and formed by a ceiling plate as a top face, a substrate mounting portion as a bottom face, and a side wall as a lateral face is constructed, the ceiling plate is supported by a support at the circumferential edge of the ceiling plate from the upper side and the outer side of the circumferential edge, and the reactant gas is rectified in a reactant gas supply path disposed in the side wall so that a horizontal component in a flow direction of the reactant gas in the reaction chamber corresponds to a horizontal component in a direction extending from the center of an opening of the reactant gas supply path facing the reaction chamber to the center of the reaction chamber.
    Type: Application
    Filed: July 3, 2013
    Publication date: September 18, 2014
    Inventors: Akira Okabe, Yoshinobu Mori
  • Patent number: 8835813
    Abstract: A light-emission output of a flash lamp for performing a light-irradiation heat treatment on a substrate in which impurities are implanted is increased up to a target value L1 over a period of time from 1 to 100 milliseconds, is kept for 5 to 100 milliseconds within a fluctuation range of plus or minus 30% from the target value L1, and is then attenuated from the target value L1 to zero over a period of time from 1 to 100 milliseconds. That is, compared with conventional flash lamp annealing, the light-emission output of the flash lamp is increased more gradually, is kept to be constant for a certain period of time, and is then decreased more gradually. As a result, a total heat amount of a surface of the substrate increases compared with the conventional case, but a surface temperature thereof rises more gradually and then drops more gradually compared with the conventional case.
    Type: Grant
    Filed: February 5, 2013
    Date of Patent: September 16, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd
    Inventor: Shinichi Kato
  • Patent number: 8837924
    Abstract: The present invention provides a vacuum heating/cooling apparatus capable of rapidly heating and also rapidly cooling only a substrate while a high vacuum degree is maintained after film-formation processing. The vacuum heating/cooling apparatus according to an embodiment of the present invention includes a vacuum chamber (1), a halogen lamp (2) which emits heating light, a quartz window (3) for allowing the heating light to enter the vacuum chamber (1), a substrate supporting base (9) having a cooling function, and a lift pin (13) which causes the substrate (5) to stand still at a heating position P3 and a cooling position P1 and moves the substrate (5) between the heating position P3 and the cooling position P1.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: September 16, 2014
    Assignee: Canon Anelva Corporation
    Inventors: Koji Tsunekawa, Yoshinori Nagamine, Shinji Furukawa
  • Patent number: 8828147
    Abstract: The invention relates to a device for loosening a polymer layer from a surface of a substrate.
    Type: Grant
    Filed: April 1, 2011
    Date of Patent: September 9, 2014
    Assignee: EV Group GmbH
    Inventors: Matt Crowder, Ronald Holzleitner, Thomas Glinsner, Friedrich Paul Lindner, Erich Thallner
  • Patent number: 8821637
    Abstract: Embodiments of the invention provide apparatuses for vapor depositing tungsten-containing materials, such as metallic tungsten and tungsten nitride. In one embodiment, a processing chamber is provided which includes a lid assembly containing a lid plate, a showerhead, a mixing cavity, a distribution cavity, and a resistive heating element contained within the lid plate. In one example, the resistive heating element is configured to provide the lid plate at a temperature within a range from about 120° C. to about 180° C., preferably, from about 140° C. to about 160° C., more preferably, from about 145° C. to about 155° C. The mixing cavity may be in fluid communication with a tungsten precursor source containing tungsten hexafluoride and a nitrogen precursor source containing ammonia. In some embodiments, a single processing chamber may be used to deposit metallic tungsten and tungsten nitride materials by CVD processes.
    Type: Grant
    Filed: January 29, 2008
    Date of Patent: September 2, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Avgerinos V. Gelatos, Sang-Hyeob Lee, Xiaoxiong Yuan, Salvador P. Umotoy, Yu Chang, Gwo-Chuan Tzu, Emily Renuart, Jing Lin, Wing-Cheong Lai, Sang Q. Le
  • Patent number: 8822876
    Abstract: An electrostatic chuck assembly including a dielectric layer with a top surface to support a workpiece. A cooling channel base disposed below the dielectric layer includes a plurality of inner fluid conduits disposed beneath an inner portion of the top surface, and a plurality of outer fluid conduits disposed beneath an outer portion of the top surface. A chuck assembly includes a thermal break disposed within the cooling channel base between the inner and outer fluid conduits. A chuck assembly includes a fluid distribution plate disposed below the cooling channel base and the base plate to distribute a heat transfer fluid delivered from a common input to each inner or outer fluid conduit. The branches of the inner input manifold may have substantially equal fluid conductance.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: September 2, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Hamid Tavassoli, Surajit Kumar, Kallol Bera, Xiaoping Zhou, Shane C. Nevil, Douglas A. Buchberger, Jr.
  • Patent number: 8822877
    Abstract: Rapid thermal processing systems and associated methods are disclosed herein. In one embodiment, a method for heating a microelectronic substrate include generating a plasma, applying the generated plasma to a surface of the microelectronic substrate, and raising a temperature of the microelectronic substrate with the generated plasma applied to the surface of the microelectronic substrate. The method further includes continuing to apply the generated plasma until the microelectronic substrate reaches a desired temperature.
    Type: Grant
    Filed: April 23, 2013
    Date of Patent: September 2, 2014
    Assignee: Micron Technology, Inc.
    Inventor: Shu Qin
  • Publication number: 20140238302
    Abstract: An atomic layer deposition apparatus including a substrate loading unit provided in a process chamber, the substrate loading unit including at least one substrate loading plate on which a substrate is to be loaded, an injector assembly coupled to the process chamber and configured to supply a plurality of reactants to deposit a multilayer film onto the substrate while sweeping over the substrate loaded on the substrate loading plate, a plurality of first heat sources configured to heat in a non-contact manner, and, a plurality of second heat sources configured to heat in a contact manner, the first and second heat sources at different positions in the process chamber may be provided.
    Type: Application
    Filed: February 18, 2014
    Publication date: August 28, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ki Hyun KIM, Yeon Tae KIM, Nam Jin CHO
  • Patent number: 8815016
    Abstract: A substrate processing apparatus includes a heating unit that heats a processing chamber that processes a plurality of substrates and that quickly cools the processing chamber after the processing. The heating unit includes a body having an intake port and an exhaust port, one or more heaters located inside the body, a cooler connected to the intake port of the body, an exhaust pump connected to the exhaust port of the body, and a controller controlling the cooler. The substrate processing apparatus includes a boat in which a plurality of substrates are stacked, a processing chamber providing a space in which the substrates are processed, a transfer unit carrying the boat into or out of the processing chamber, and the heating unit located outside the processing chamber.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: August 26, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong-Won Hong, Min-Jae Jeong, Heung-Yeol Na, Eu-Gene Kang, Seok-Rak Chang
  • Publication number: 20140230733
    Abstract: Technologies are generally described for a method and system configured effective to alter a defect area in a layer on a substrate including graphene. An example method may include receiving and heating the layer to produce a heated layer and exposing the heated layer to a first gas to produce a first exposed layer, where the first gas may include an amine. The method may further include exposing the first exposed layer to a first inert gas to produce a second exposed layer and exposing the second exposed layer to a second gas to produce a third exposed layer where the second gas may include an alane or a borane. Exposure of the second exposed layer to the second gas may at least partially alter the defect area.
    Type: Application
    Filed: April 30, 2014
    Publication date: August 21, 2014
    Applicant: EMPIRE TECHNOLOGY DEVELOPMENT, LLC
    Inventor: SETH ADRIAN MILLER
  • Publication number: 20140235036
    Abstract: A hot wire device and method for depositing semiconductor material onto a substrate in a deposition chamber in which the ends of at least two filaments are clamped into a filament holder and heated by supplying current, wherein a voltage for generating an electrical current is applied in temporal succession to filaments made of differing materials so that a number of differing semiconductors corresponding to the number of consecutively heated filament materials can be consecutively deposited onto the substrate without opening the chamber.
    Type: Application
    Filed: March 30, 2012
    Publication date: August 21, 2014
    Applicant: FORSCHUNGSZENTRUM JUELICH GMBH
    Inventors: Friedhelm Finger, Andreas Schmalen, Johannes Wolff
  • Patent number: 8808454
    Abstract: A gas injection unit allows uniform cooling thereof via smooth flow of coolant and can be easily manufactured. The gas injection unit for a chemical vapor deposition apparatus includes, inter alia: a gas distribution housing; a cooling housing positioned between the gas distribution housing and a processing chamber where a deposition process is performed, and formed with a coolant inlet through which coolant is introduced, and a coolant outlet through which the coolant is discharged; a processing gas pipe of which one end is opened to the gas distribution housing and the other end is opened to the processing chamber, the processing gas pipe penetrating the cooling housing; and a first wall part positioned inside the cooling housing such that an inside of the cooling housing is partitioned into a central path and a peripheral path, and formed with a penetration hole such that the central path communicates with the peripheral path.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: August 19, 2014
    Assignee: LIGADP Co., Ltd.
    Inventor: Jae Moo Lee
  • Patent number: 8808457
    Abstract: A tool for depositing multilayer coatings onto a substrate. The tool includes a housing defining a vacuum chamber connected to a vacuum source, deposition stations each configured to deposit a layer of multilayer coating on the substrate, a curing station, and a contamination reduction device. At least one of the deposition stations is configured to deposit an inorganic layer, while at least one other deposition station is configured to deposit an organic layer. In one tool configuration, the substrate may travel back and forth through the tool as many times as needed to achieve the desired number of layers of multilayer coating. In another, the tool may include numerous housings adjacently spaced such that the substrate may make a single unidirectional pass. The contamination reduction device may be configured as one or more migration control chambers about at least one of the deposition stations, and further includes cooling devices, such as chillers, to reduce the presence of vaporous layer precursors.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: August 19, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: John Chris Pagano, Kenneth Jeffrey Nelson, Paul E. Burrows, Mark Edward Gross, Mac R. Zumhoff, Peter Maclyn Martin, Charles C. Bonham, Gordon Lee Graff, Lorenza Moro, Xi Chu
  • Patent number: 8809747
    Abstract: A method of operating a heating plate for a substrate support assembly used to support a semiconductor substrate in a semiconductor processing apparatus, wherein the heating plate comprises power supply lines and power return lines and respective heater zone connected between every pair of power supply line and power return line. The method reduces maximum currents carried by the power supply lines and power return lines by temporally spreading current pulses for powering the heater zones.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: August 19, 2014
    Assignee: Lam Research Corporation
    Inventors: John Pease, Neil Benjamin
  • Patent number: 8808455
    Abstract: Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device which are able to form a conductive film, which is dense, includes a low concentration of source-derived impurities and has low resistivity, at a higher film-forming rate. The substrate processing apparatus includes a processing chamber configured to stack and accommodate a plurality of substrates; a first processing gas supply system configured to supply a first processing gas into the processing chamber; a second processing gas supply system configured to supply a second processing gas into the processing chamber; and a control unit configured to control the first processing gas supply system and the second processing gas supply system.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: August 19, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tatsuyuki Saito, Masanori Sakai, Yukinao Kaga, Takashi Yokogawa
  • Publication number: 20140224176
    Abstract: A metal-organic chemical vapor deposition (MOCVD) apparatus includes: a reaction chamber including a chamber main body forming an interior space having a certain volume and a chamber cover hermetically sealing the chamber main body to maintain air-tightness; a susceptor rotatably provided within the chamber main body and having one or more accommodation portions formed in an upper surface thereto to accommodate wafers; a cover member detachably provided on an interior surface of the chamber cover, forming a reaction space between the cover member and the susceptor, and formed by coupling a plurality of section members; and a gas supply unit supplying a reactive gas to the reaction space to allow the reactive gas to flow between the susceptor and the cover member.
    Type: Application
    Filed: August 9, 2011
    Publication date: August 14, 2014
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Do Young Rhee, Young Sun Kim, Sung Tae Kim, Sang Heon Han, Ki Sung Kim
  • Patent number: 8802547
    Abstract: A method of forming an amorphous silicon film includes: forming a seed layer on a surface of a base by heating the base and supplying an amino silane-based gas to the heated base, forming the amorphous silicon film with thickness for layer growth on the seed layer by heating the base and supplying a silane-based gas containing no amino group to the seed layer on the surface of the heated base, and decreasing a film thickness of the amorphous silicon film by etching the amorphous silicon film formed with thickness for layer growth.
    Type: Grant
    Filed: July 19, 2012
    Date of Patent: August 12, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Akinobu Kakimoto, Satoshi Takagi, Kazumasa Igarashi