For Detection Or Control Of Pressure Or Flow Of Etchant Gas Patents (Class 156/345.26)
  • Patent number: 6921456
    Abstract: A high pressure chamber comprises a chamber housing, a platen, and a mechanical drive mechanism. The chamber housing comprises a first sealing surface. The platen comprises a region for holding the semiconductor substrate and a second sealing surface. The mechanical drive mechanism couples the platen to the chamber housing. In operation, the mechanical drive mechanism separates the platen from the chamber housing for loading of the semiconductor substrate. In further operation, the mechanical drive mechanism causes the second sealing surface of the platen and the first sealing surface of the chamber housing to form a high pressure processing chamber around the semiconductor substrate.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: July 26, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian A. Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 6916397
    Abstract: In a first aspect, a system includes (1) a chamber; (2) a variable speed vacuum pump coupled to the chamber; and (3) a pressure controller coupled to the chamber. The pressure controller compares a set point pressure with a pressure measurement for the chamber and adjusts a flow of gas through the pressure controller based on a difference between the pressure measurement and the set point pressure. The system includes a pressure measurement device coupled to the chamber and to the pressure controller, and a main controller coupled to the variable speed vacuum pump, the pressure controller and the pressure measurement device. The pressure measurement device measures a pressure within the chamber and provides a pressure measurement to the pressure controller and the main controller. The main controller (1) adjusts a speed of the variable speed vacuum pump; and (2) provides the set point pressure to the pressure controller.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: July 12, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth Pfeiffer, Gregory S. Verdict
  • Patent number: 6913652
    Abstract: A system for processing substrates includes a first process chamber configured to perform a given process on a first substrate. A second process chamber is configured to perform the same process as the first chamber on a second substrate. A gas source system is configured to output a process gas. A gas flow system includes a flow channel coupled to the gas source system and the first and second process chambers to provide the process gas into the first and second process chambers. The gas source system further includes a gas flow controller to regulate flow rates of the process gas provided into the first and second chambers in order to provide the first and second substrates with substantially uniform process results.
    Type: Grant
    Filed: June 17, 2002
    Date of Patent: July 5, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Hongqing Shan
  • Patent number: 6911157
    Abstract: At least one control parameter such as power supplied to a plasma, process pressure, gas flow rate, and radio frequency bias power to a wafer is changed for an extremely short time as compared with an entire plasma processing time, to the extent that such a change does not affect the result of plasma processing on the wafer, to monitor a temporal change of a plasma state which occurs at the time of changing. A signal resulting from the monitoring method is used to control or diagnose the plasma processing, thereby making it possible to accomplish miniature etching works, high quality deposition, surface processing.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: June 28, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Manabu Edamura, Hideyuki Yamamoto, Kazuyuki Ikenaga
  • Publication number: 20040231797
    Abstract: An apparatus for improving the density and uniformity of plasma in the manufacture of a semiconductor device features a plasma chamber having a complex geometry that causes plasma density to be increased at the periphery or edge of a semiconductor wafer being processed, thereby compensating for a plasma density that is typically more concentrated at the center of the semiconductor wafer. By mounting a target semiconductor wafer in a chamber region that has a cross-sectional area that is smaller than a cross-sectional area of a plasma source chamber region, a predetermine flow of generated plasma from the source becomes concentrated as it moves toward the semiconductor wafer, particularly at the periphery of the semiconductor wafer. This provides a more uniform plasma density across the entire surface of the target semiconductor wafer than has heretofore been available.
    Type: Application
    Filed: June 25, 2004
    Publication date: November 25, 2004
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jeong-sic Jeon, Jin Hong
  • Patent number: 6808591
    Abstract: A systems and methodologies are provided for metal overetch control. Metal overetch processes are controlled by utilizing overetch device models to determine overetch times or overetch endpoints. The systems and methodologies reduce the need for manual testing and manual overetch characterization. An overetch system includes a metal etcher, a target device and an overetch controller. The target device is located in or on the metal etcher. The overetch controller is coupled to the metal etcher. The overetch controller controls overetching of the target device by the metal etcher. The overetch controller includes an overetch time controller, a set of etch control models and a control system.
    Type: Grant
    Filed: December 12, 2001
    Date of Patent: October 26, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Khoi A. Phan, Bharath Rangarajan, Christopher F. Lyons, Steven C. Avanzino, Ramkumar Subramanian, Bhanwar Singh, Cyrus E. Tabery
  • Patent number: 6802933
    Abstract: This invention is directed to a method for etching films on semiconductor substrates and cleaning etch chambers. The method includes an improved processing sequence and cleaning method where residue formed from processing a previous substrate are cleaned by the etching process used to remove an exposed layer of material from the present substrate. The process provides improved substrate throughput by combining the step to clean residue from a previous substrate with an etch step conducted on the present substrate. Applicants have found the method particularly useful in processing structures such as DRAM stacks, especially where the residue is formed by a trench etched in the previous silicon substrate and the exposed layer etched from the present substrate is silicon nitride.
    Type: Grant
    Filed: December 18, 2000
    Date of Patent: October 12, 2004
    Inventors: Anisul Khan, Ajay Kumar, Jeffrey D. Chinn, Dragan Podlesnik
  • Patent number: 6799532
    Abstract: A method and system for controlling electron densities in a plasma processing system. By applying a dither voltage and a correction voltage to a voltage-controlled oscillator, electron (plasma) density of a plasma processing system (acting as an open resonator) may be measured and controlled as part of a plasma-based process.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: October 5, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Murray D. Sirkis, Joseph T. Verdeyen
  • Publication number: 20040129218
    Abstract: The invention comprises a lower electrode which is disposed in a processing chamber and holds a wafer W, and an exhaust ring mechanism disposed between the lower electrode and an inner wall of the processing chamber, wherein the exhaust ring mechanism has an exhaust ring, and a magnetic field forming section which forms a magnetic field parallel to the principal plane of the exhaust ring, and thereby preventing plasma leak from a plasma region to a non-plasma region by the formed magnetic field, and a plasma processing apparatus using the exhaust ring mechanism.
    Type: Application
    Filed: December 19, 2003
    Publication date: July 8, 2004
    Inventors: Toshiki Takahashi, Kazuyuki Tezuka, Takashi Kitazawa, Norihiko Amikura, Hiroshi Koizumi
  • Patent number: 6758938
    Abstract: An apparatus and method for delivering ozone to a workpiece. In one embodiment, fluid is sprayed onto a workpiece placed in an ozone-rich environment. Alternatively, ozone is mixed with the fluid prior to spraying the fluid onto the workpiece. When spraying the fluid, the invention pulses the fluid at desired rates to create a substantially uniform layer of ozone-rich fluid on the workpiece. In another embodiment, the workpiece is also slowly rotated during at least a portion of the time the layer of ozone-rich fluid is applied to the workpiece.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: July 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Jonathan C. Morgan, Paul A. Morgan
  • Patent number: 6743328
    Abstract: A grid protects a manometer diaphragm from plasma. A plasma chamber is used to generate a plasma. A manometer is used to measure the pressure in the plasma chamber. A grounded electrically conductive grid is used to screen out ions in the plasma before they reach a diaphragm in the manometer. The grid may be formed in a centering ring. A pipe may be used to connect the manometer to the plasma chamber. The centering ring may be placed in the joint in the pipe, with the centering ring and grid being grounded to the pipe.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: June 1, 2004
    Assignee: Lam Research Corporation
    Inventors: Joe A. Lombardi, Roger Schutz
  • Publication number: 20040099376
    Abstract: Plasma etching is controlled utilizing two etchant gases to form a plasma so as to obtain controlled (e.g., uniform) etch rate across a wafer. One etchant gas forms appositive plasma, which is the dominant plasma. The other etchant gas forms a negative plasma, which is the secondary plasma. The ratio of dominant plasma to the secondary plasma can be adjusted such that ion densities are uniform across the wafer, resulting in uniform etch rate over the wafer.
    Type: Application
    Filed: November 21, 2003
    Publication date: May 27, 2004
    Applicant: Intel Corporation, a Delaware corporation
    Inventors: Y. Long He, Albert Kwok, Tsukasa Abe, Han-Ming Wu
  • Patent number: 6733620
    Abstract: The present invention provides a process apparatus including an airtight process vessel, an exhaust system for exhausting gas from the process vessel, and a baffle plate for partitioning the process vessel into a process chamber for processing an object and an exhaust passage communicating with the exhaust system, the baffle plate has a plurality of slits through which the process chamber and the exhaust passage communicate with each other, and each of the slits has a tapered surface on an inner surface toward the process chamber, the tapered surface corresponding to not less than ¼ of a depth of the slit.
    Type: Grant
    Filed: September 6, 2000
    Date of Patent: May 11, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Norikazu Sugiyama, Nobuyuki Okayama, Hidehito Saegusa, Jun Ozawa
  • Publication number: 20040060659
    Abstract: An etching apparatus has a sensor for monitoring the etching state, an etching result estimation model for estimating an etching result from an output of the sensor and an etching result estimator, and an etching recipe calculation model for calculating a recipe based on the estimation result, in such a manner as to achieve a target etching result. In the etching method employed, when subjecting a semiconductor substrate prepared by using polysilicon to etching, calculating at least one of an oxygen gas flow rate and a pressure or both, which are included in the recipe, is calculated based on a recipe calculation model and the etching is performed using the thus-obtained parameter(s). The etching apparatus and the etching method enable desired pattern dimensions to be achieved, while suppressing variation in the final pattern dimensions.
    Type: Application
    Filed: April 15, 2003
    Publication date: April 1, 2004
    Inventors: Natsuyo Morioka, Kenji Tamaki, Akira Kagoshima, Daisuke Shiraishi, Motohiko Yoshigai, Junichi Tanaka, Shoji Ikuhara, Hideyuki Yamamoto
  • Publication number: 20040055708
    Abstract: A method for cleaning borosilicate (BSG) and borophosphosilicate (BPSG) films from CDV chambers including controlling the pressure within the chamber, introducing Ar into the chamber, introducing NF3 into the chamber, adjustably spacing a heater relative to the chamber, and adjusting the temperature within the chamber.
    Type: Application
    Filed: September 24, 2002
    Publication date: March 25, 2004
    Applicant: Infineon Technologies Richmond, LP
    Inventors: Shrinivas Govindarajan, Ankur Jain
  • Patent number: 6695946
    Abstract: A cooling system and a semiconductor apparatus, in which the cooling system may supply a reaction chamber with a coolant (such as helium or a mixture containing helium) during a semiconductor wafer fabrication process. The cooling system may generally include a printed circuit board, a coolant flow controller having a setpoint control to set a flow of coolant by transmitting a voltage signal to the printed circuit board, and a filter for removing undesired noise from the voltage signal to stabilize the flow of coolant.
    Type: Grant
    Filed: April 18, 2001
    Date of Patent: February 24, 2004
    Assignee: Applied Materials Inc.
    Inventor: Tien-En Hsiao
  • Patent number: 6682628
    Abstract: Methods and apparatuses for mechanical and/or chemical-mechanical planarization of semiconductor wafers, field emission displays and other microelectronic substrate assemblies. One method of planarizing a microelectronic substrate assembly in accordance with the invention includes pressing a substrate assembly against a planarizing surface of a polishing pad at a pad/substrate interface defined by a surface area of the substrate assembly contacting the planarizing surface. The method continues by moving the substrate assembly and/or the polishing pad with respect to the other to rub at least one of the substrate assembly and the planarizing surface against the other at a relative velocity. As the substrate assembly and polishing pad rub against each other, a parameter indicative of drag force between the substrate assembly and the polishing pad is measured or sensed at periodic intervals. The measured drag force can be used to generate a plot of work versus time.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: January 27, 2004
    Assignee: Micron Technology, Inc.
    Inventors: James J. Hoffmann, Gundu M. Sabde, Stephen J. Kramer, Michael James Joslyn
  • Publication number: 20040007326
    Abstract: There is provided by this invention a wafer probe for measuring plasma and surface characteristics in plasma processing environment that utilizes integrated sensors on a wafer substrate. A microprocessor mounted on the substrate receives input signals from the integrated sensors to process, store, and transmit the data. A wireless communication transceiver receives the data from the microprocessor and transmits information outside of the plasma processing system to a computer that collects the data during plasma processing. The integrated sensors may be dual floating Langmuir probes, temperature measuring devices, resonant beam gas sensors, or hall magnetic sensors. There is also provided a self-contained power source that utilizes the plasma for power that is comprised of a topographically dependent charging device or a charging structure that utilizes stacked capacitors.
    Type: Application
    Filed: July 12, 2002
    Publication date: January 15, 2004
    Inventors: Gregory A. Roche, Leonard J. Mahoney, Daniel C. Carter, Steven J. Roberts
  • Publication number: 20040005507
    Abstract: Methods and systems for evaluating and controlling a lithography process are provided. For example, a method for reducing within wafer variation of a critical metric of a lithography process may include measuring at least one property of a resist disposed upon a wafer during the lithography process. A critical metric of a lithography process may include, but may not be limited to, a critical dimension of a feature formed during the lithography process. The method may also include altering at least one parameter of a process module configured to perform a step of the lithography process to reduce within wafer variation of the critical metric. The parameter of the process module may be altered in response to at least the one measured property of the resist.
    Type: Application
    Filed: March 27, 2003
    Publication date: January 8, 2004
    Applicant: KLA-Tencor, Inc.
    Inventors: Suresh Lakkapragada, Kyle A. Brown, Matt Hankinson, Ady Levy, Ibrahim Abdul-Halim
  • Publication number: 20040002224
    Abstract: A substrate processing system is provided with an ozone generator capable of generating an ozone-containing gas by discharging electricity in an oxygen-containing gas, and a plurality of processing chambers each capable of holding substrates therein to process the substrates by the ozone-containing gas supplied thereto. A flow regulator control an oxygen-containing gas supplied to the ozone generator. A controller controls the flow regulator to control the flow rate of the ozone-containing gas to be supplied to the processing chamber or chambers from the ozone generator through the control of the flow rate of the oxygen-containing gas supplied to the ozone generator.
    Type: Application
    Filed: June 23, 2003
    Publication date: January 1, 2004
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasuhiro Chono, Norihiro Ito
  • Patent number: 6669782
    Abstract: An apparatus for forming at least one layer of substantially homogenous material on a substrate comprising: a processing chamber having a substrate support system on which is disposed a wafer; an energy source for providing thermal or a-thermal energy to the chamber; a source of reactants for the chamber; and a “smart controller” connected to the chamber for “real-time” control of the energy sources and the reactant sources. Additionally a method for forming at least one layer of substantially homogenous material layer on a substrate, comprising: in-situ cleaning of the substrate by selectively using appropriate amounts of thermal, sonic, optical and plasma energy while comparing actual surface topography of the substrate with an expected surface topography via said “smart controller”.
    Type: Grant
    Filed: November 15, 2000
    Date of Patent: December 30, 2003
    Inventor: Randhir P. S. Thakur
  • Publication number: 20030230551
    Abstract: An etching system for subjecting a single film to be etched to etching comprised of a plurality of etching steps applying respective different recipes. The etching system comprises a recipe generating means which fixes the recipe to be applied to the final etching step affecting an underlying film making contact with the film to be etched, of the etching steps, to a preset recipe and which generates a recipe to be applied to the residual etching step on the basis of the results of processing, and etching processing is conducted according to the recipes generated by the recipe generating means.
    Type: Application
    Filed: August 21, 2002
    Publication date: December 18, 2003
    Inventors: Akira Kagoshima, Motohiko Yoshigai, Hideyuki Yamamoto, Daisuke Shiraishi, Junichi Tanaka, Kenji Tamaki, Natsuyo Morioka
  • Publication number: 20030226821
    Abstract: An automated process control system configured for controlling a plasma processing system having a chamber, the chamber being configured for processing a substrate. The automatic process control system includes a first sensor disposed within the chamber, the first sensor being configured for making a first plurality of measurements pertaining to a first parameter associated with a structure disposed at least partially within the chamber. The performing the first plurality of measurements is performed during the processing of the substrate. The automatic process control system further includes first logic coupled to receive the first plurality of measurements from the first sensor. The first logic is configured for analyzing using SPC methodologies the first plurality of measurements during the processing.
    Type: Application
    Filed: June 7, 2002
    Publication date: December 11, 2003
    Inventors: Chung-Ho Huang, John A. Jensen
  • Patent number: 6660177
    Abstract: Reactive atom plasma processing can be used to shape, polish, planarize, and clean surfaces of difficult materials with minimal subsurface damage. The apparatus and methods use a plasma torch, such as a conventional ICP torch. The workpiece and plasma torch are moved with respect to each other, whether by translating and/or rotating the workpiece, the plasma, or both. The plasma discharge from the torch can be used to shape, planarize, polish, clean and/or deposit material on the surface of the workpiece, as well as to thin the workpiece. The processing may cause minimal or no damage to the workpiece underneath the surface, and may involve removing material from, and/or redistributing material on, the surface of the workpiece.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: December 9, 2003
    Assignee: Rapt Industries Inc.
    Inventor: Jeffrey W. Carr
  • Publication number: 20030221779
    Abstract: A substrate processing apparatus includes: a reaction tube; a gas introducing tube which is in communication with said reaction tube; a gas exhausting tube having a closing member, and a controller which controls an opening of the closing member to substantially stop exhaustion through the exhausting tube from a predetermined point of time before cleaning gas is supplied from said gas introducing tube into the reaction tube to a point of time when several seconds are elapsed after starting of supply of the cleaning gas into the reaction tube such that there exists a state in which exhaustion from the gas exhausting tube is stopped while the cleaning gas is supplied from the gas introducing tube into the reaction tube to fill the reaction tube with the cleaning gas under control of the controller.
    Type: Application
    Filed: March 28, 2003
    Publication date: December 4, 2003
    Inventors: Kazuyuki Okuda, Toru Kagaya, Masanori Sakai
  • Patent number: 6656282
    Abstract: Disclosed are an apparatus for and a process of atomic layer deposition using remote plasma. A thin film is deposited to a desired thickness on a wafer by use of the apparatus, which comprises a plurality of transfer pipes for individually transferring the first and the second reactive gas and the carrier gas to the vacuum chamber; an energy supplier, provided inside the transfer pipe for transferring the first and the second reactive gas, for supplying excitation energy to generate excited plasma to ionize the first reactive gas; and a valve controller, established in the transfer pipes, for alternately feeding into the vacuum chamber the second reactive gas and the first reactive gas ionized by the plasma excited in the energy supplier, at predetermined time intervals.
    Type: Grant
    Filed: October 11, 2001
    Date of Patent: December 2, 2003
    Assignee: Moohan Co., Ltd.
    Inventors: Yong-Il Kim, Won-Hyung Lee, Byung-Ha Cho
  • Patent number: 6649019
    Abstract: In the invention, the atmosphere in a vacuum chamber (1) is conditioned using a primary pump (3), a secondary pump (2), speed control means (6, 7) for controlling the speed of the primary pump, and at least first gas treatment means (5) adapted for treating the extracted gases downstream from the primary pump (3). The vacuum chamber (1) is contained in a room (130) having a false floor (36) covering a space (37). The primary pump (3) and the gas treatment means (5) are housed in the available space (37) under the false floor (36), so that the secondary pump (2) can be placed in the immediate vicinity of the vacuum chamber (1), and the primary pump (3) is in the proximity of the vacuum chamber (1).
    Type: Grant
    Filed: October 19, 2001
    Date of Patent: November 18, 2003
    Assignee: Alcatel
    Inventors: Roland Bernard, Eric Chevalier, Gloria Sogan
  • Publication number: 20030209322
    Abstract: In a first aspect, a system includes (1) a chamber; (2) a variable speed vacuum pump coupled to the chamber; and (3) a pressure controller coupled to the chamber. The pressure controller compares a set point pressure with a pressure measurement for the chamber and adjusts a flow of gas through the pressure controller based on a difference between the pressure measurement and the set point pressure. The system includes a pressure measurement device coupled to the chamber and to the pressure controller, and a main controller coupled to the variable speed vacuum pump, the pressure controller and the pressure measurement device. The pressure measurement device measures a pressure within the chamber and provides a pressure measurement to the pressure controller and the main controller. The main controller (1) adjusts a speed of the variable speed vacuum pump; and (2) provides the set point pressure to the pressure controller.
    Type: Application
    Filed: December 13, 2002
    Publication date: November 13, 2003
    Inventors: Kenneth Pfeiffer, Gregory S. Verdict
  • Patent number: 6638880
    Abstract: In the chemical vapor deposition apparatus, a substrate stage for mounting a substrate is provided inside a reaction chamber of the apparatus. A source gas inlet for introducing a source gas and exhaust outlets and for exhausting the source gas are provided. Exhaust outlet valves provided for exhaust outlets are open and shut successively with time. The direction of the flow of source gas relative to the fixed substrate varies with time. The present chemical vapor deposition apparatus allows the improved evenness of film thickness, the composition ratio, and the like within the substrate surface as well as the reduction of particles of foreign substance generated inside the reaction chamber.
    Type: Grant
    Filed: October 19, 2001
    Date of Patent: October 28, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Mikio Yamamuka, Takaaki Kawahara, Masayoshi Tarutani, Tsuyoshi Horikawa, Shigeru Matsuno, Takehiko Sato
  • Patent number: 6635144
    Abstract: Apparatus for processing semiconductor wafers includes a processing chamber, a chuck within the chamber for supporting a wafer during processing, a fiberoptic cable having a first end positioned at the surface of the chuck, and an optical pyrometer connected to a second end of the cable. The optical pyrometer measures the temperature of a wafer during processing and measures in situ temperature of plasma-excited cleaning gas introduced into the chamber during subsequent cleaning from walls thereof of unwanted solid deposits within the chamber. The pyrometer is connected to a computer which controls the flow of cleaning gases. When the temperature of the plasma-excited gas reaches a steady-state value the computer stops the flow of cleaning gases into the chamber and thereby stops the cleaning operation.
    Type: Grant
    Filed: April 11, 2001
    Date of Patent: October 21, 2003
    Assignee: Applied Materials, Inc
    Inventors: Zhenjiang Cui, Padmanabhan Krishnaraj, Shamouil Shamouilian
  • Patent number: 6632321
    Abstract: A method and apparatus for monitoring, measuring and/or controlling the etch rate in a dry etch semiconductor wafer processing system. The wafer processing system has a monitoring assembly which comprises an electromagnetic radiation source and detector which interferometrically measures the etch rate. The actual rate of change of the etch as it progresses is measures by this technique and is compared to a model of a desired rate of change in a controller. The error between the actual rate of change and the desired rate of change is then used to vary at least one of the process parameters of the system in a direction tending to null the difference.
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: October 14, 2003
    Assignee: Applied Materials, Inc
    Inventors: Thorsten Lill, David Mui, Michael Grimbergen
  • Publication number: 20030188830
    Abstract: A substrate support has a receiving surface capable of receiving a substrate during processing in a substrate processing chamber.. The substrate support has a pedestal having a conduit to circulate a heat transfer fluid therein. A barrier about the conduit includes a fluid detection material capable of detecting a leakage of the fluid from the conduit be changing an attribute, for example, an optical or electrical property.
    Type: Application
    Filed: April 8, 2002
    Publication date: October 9, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Kadthala R. Narendrnath, Michael Douglas, Surinder Bedi
  • Publication number: 20030183337
    Abstract: A plasma processing system and method for operating a windowless optical diagnostic system in conjunction with a plasma processing system. The plasma processing system comprises a windowless optical diagnostic system that is constructed and arranged to detect a plasma process condition. The method includes providing a first pressure within a chamber of the plasma processing system and providing a second pressure within a windowless optical diagnostic chamber in which the windowless optical diagnostic system is positioned. The method further includes controlling the second pressure within the windowless optical diagnostic chamber relative to the first pressure within the chamber and optically detecting a plasma process condition.
    Type: Application
    Filed: March 17, 2003
    Publication date: October 2, 2003
    Inventors: James Fordemwalt, Audunn Ludviksson, Andrej Mitrovic, Norman Wodecki
  • Publication number: 20030173028
    Abstract: A plasma processing apparatus for processing an object arranged within a chamber by utilizing the plasma caused within the chamber, the apparatus including: a sensor having plural lines of a plurality of elements for detecting a plurality of strip-like beams; an application unit for applying the plurality of strip-like beams generated from the light within the chamber in such a way that each of the illumination faces of the strip-like beams makes an angle with respect to each of the lines of the elements; and a control unit for adjusting the operation of the apparatus using outputs from the sensor.
    Type: Application
    Filed: March 12, 2002
    Publication date: September 18, 2003
    Inventors: Shoji Ikuhara, Junichi Tanaka, Hideyuki Yamamoto
  • Publication number: 20030159779
    Abstract: This application discloses an insulation-film etching system that etches an insulator film on a substrate by a species produced in plasma. The apparatus comprises a process chamber in which the etching process is carried out, a substrate holder that is provided in the process chamber and holds the substrate, a gas introduction line to introduce a gas having an etching function into the process chamber, a plasma generator to generate the plasma of the introduced gas, and a transfer mechanism to transfer the substrate into the process chamber and to transfer the substrate out of the process chamber. The gas introduction line is capable of introducing a gas having a cleaning function to remove a deposited film on an exposed surface in the process chamber, instead of the gas for the etching. The system comprises a control unit that carries out the sequence control. According as the sequence control, the cleaning is carried out after the etching.
    Type: Application
    Filed: December 4, 2002
    Publication date: August 28, 2003
    Inventors: Yasumi Sago, Yoneichi Ogahara, Masanori Miyamae
  • Publication number: 20030155074
    Abstract: The present invention provides a plasma enhanced chemical vapor deposition method and apparatus for reducing the hydrogen concentration in amorphous silicon carbide films deposited on a substrate. The process combines a noble gas such as helium with a silicon source such as silane and a carbon source such as methane in the reaction zone of a CVD chamber. The resulting silicon carbide films have a low concentration of hydrogen and high compressive stress. The films are preferably produced with a plasma generated by a mixture of high and low radio frequency.
    Type: Application
    Filed: June 16, 1998
    Publication date: August 21, 2003
    Inventor: XIANG YU YAO
  • Publication number: 20030153194
    Abstract: Plasma etching is controlled utilizing two etchant gases to form a plasma so as to obtain controlled (e.g., uniform) etch rate across a wafer. One etchant gas forms a positive plasma, which is the dominant plasma. The other etchant gas forms a negative plasma, which is the secondary plasma. The ratio of dominant plasma to the secondary plasma can be adjusted such that ion densities are uniform across the wafer, resulting in uniform etch rate over the wafer.
    Type: Application
    Filed: February 13, 2002
    Publication date: August 14, 2003
    Inventors: Y. Long He, Albert Kwok, Tsukasa Abe, Han-Ming Wu
  • Patent number: 6605176
    Abstract: The linear controlling of the pressure of a vacuum chamber, such as a plasma etch chamber used in semiconductor processing, is disclosed. A plasma etch chamber pressure control mechanism includes an aperture diaphragm and a number of aperture blades rotatably mounted on the aperture diaphragm. The diaphragm defines a contractible and expandable aperture for controlling the pressure of the chamber. Rotation of the aperture blades in a first direction contracts the aperture by causing movement of the blades towards the aperture, increasing the pressure of the chamber. Rotation of the aperture blades in a second direction opposite to the first direction expands the aperture by causing movement of the blades away from the aperture, decreasing the pressure of the chamber.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: August 12, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Lin Kun Tzu
  • Publication number: 20030136512
    Abstract: A gas purge space such as a pellicle space is purged with inert gas within a short time in a projection exposure apparatus using an ultraviolet ray source such as a fluorine excimer laser as a light source. The pellicle frame of a reticle (20) with a pellicle is constituted by porous pellicle frame pieces (30a, 30b). Inert gas is supplied into a pellicle space (100) via the porous pellicle frame piece (30a). Inert gas is exhausted together with oxygen and the like in the pellicle space (100) via the porous pellicle frame piece (30b).
    Type: Application
    Filed: January 13, 2003
    Publication date: July 24, 2003
    Applicant: Canon Kabushiki Kaisha
    Inventor: Sumitada Yamamoto
  • Publication number: 20030131792
    Abstract: A desired level of pressure is established in at least one chamber that forms part of a closed atmosphere, such as in a semiconductor device processing facility. A pressure control system includes at least one space increase/decrease device that has a partition which is movable to increase and/or decrease the volume of free space within the chamber(s), a pressure sensor for detecting the pressure within the chamber(s), and a controller for controlling the movement of the partition based on the detected pressure. A chamber is provided with positive or negative pressure to increase or decrease the pressure therein while the pressure in the chamber is monitored. As soon as the pressure within the chamber equals a predetermined pressure, the providing of the positive or negative pressure is stopped. The partition is moved to vary the effective volume of free space in the chamber(s) to change the pressure in the chamber from the predetermined pressure to the desired pressure.
    Type: Application
    Filed: December 19, 2002
    Publication date: July 17, 2003
    Inventors: Jin-Jun Park, Jin-Seok Hong
  • Publication number: 20030132195
    Abstract: At least one control parameter such as power supplied to a plasma, process pressure, gas flow rate, and radio frequency bias power to a wafer is changed for an extremely short time as compared with an entire plasma processing time, to the extent that such a change does not affect the result of plasma processing on the wafer, to monitor a temporal change of a plasma state which occurs at the time of changing. A signal resulting from the monitoring method is used to control or diagnose the plasma processing, thereby making it possible to accomplish miniature etching works, high quality deposition, surface processing.
    Type: Application
    Filed: January 21, 2003
    Publication date: July 17, 2003
    Inventors: Manabu Edamura, Hideyuki Yamamoto, Kazuyuki Ikenaga
  • Patent number: 6589350
    Abstract: An apparatus for and a method of introducing a gas into a vacuum processing chamber are provided. In one aspect, a processing apparatus is provided that includes a vacuum processing chamber, a first source of gas coupled to the vacuum processing chamber, and a fluid actuated valve for regulating the flow of the gas from the first source of gas to the vacuum processing chamber. The fluid actuated valve is operable to open in response to a flow of an actuating fluid and has a minimum valve opening pressure. A valve is provided for enabling the actuating fluid to flow to the fluid actuated valve. A controller is provided for selectively modulating the flow of the actuating fluid to the fluid actuated valve whereby the pressure of the actuating fluid is increased incrementally from an initial pressure to at least the minimum valve opening pressure. The apparatus reduces the risk of troublesome gas bursts in vacuum processing chambers.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: July 8, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Dennis C. Swartz
  • Patent number: 6551444
    Abstract: A plasma processing apparatus and a method of plasma processing using the same obviate a problem in which an excessive amount of processing gas is supplied momentarily during an initial stage of the gas supply. In the process of supplying gas, a main controller outputs to a mass flow controller a flow-rate setting command signal preset for “zero flow” prior to opening a gas shut-off valve, which opens/closes a gas supply passage, and another flow-rate setting command signal set for “a specific flow rate” only after the gas shut-off valve is opened.
    Type: Grant
    Filed: April 9, 2002
    Date of Patent: April 22, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tetsuhiro Iwai, Ryota Furukawa
  • Publication number: 20030066817
    Abstract: A dry etching method and apparatus are provided which are capable of performing deep etching fabrication rapidly on a substrate of InP-based compound semiconductor. Etching gas is fed into and exhaust of a reaction chamber is performed so that inside of the chamber is controlled to be under a predetermined pressure, plasma is then generated in the reaction chamber by application of a 13.56 MHz or above high-frequency power to a flat spiral discharge coil or a flat antenna that is provided so as to face an InP-based compound semiconductor substrate placed on a substrate electrode in the reaction chamber, and the substrate is etched while a density of the plasma and ion energy that reaches the substrate are controlled.
    Type: Application
    Filed: July 18, 2002
    Publication date: April 10, 2003
    Inventors: Hiroshi Tanabe, Tomohiro Okumura, Hiroshi Imai
  • Publication number: 20030062126
    Abstract: A laser machining apparatus includes a nozzle connected to a pressurized gas and liquid reservoir for propelling a fine spray, mist or stream of a liquid such as water at the workpiece to be machined. A laser beam, preferably as may be generated by a UV laser or other source of exposure radiation, but is not strongly absorbed by the liquid assist material, is focused to the workpiece using, e.g., a focusing or imaging lens, while the fine spray, mist or liquid stream is propelled at the workpiece creating a layer of the liquid on its surface as the beam is incident upon the workpiece for machining.
    Type: Application
    Filed: September 30, 2002
    Publication date: April 3, 2003
    Inventor: Michael J. Scaggs
  • Patent number: 6527927
    Abstract: A vacuum treatment system in which a part (9) is provided inside a vacuum treatment chamber (1). A potential (&phgr;9) which deviates from the system reference potential (&phgr;0) by approximately at least ±12 V is applied to said part. A sensor and/or an actuator (11) is/are arranged on said part. In addition, the invention comprises an electronic unit (13) which is connected to the sensor and/or actuator. Processing signals on the unit (13) is considerably simplified in that the electronic unit (13) is operated as a reference potential on the potential (&phgr;9) of said part (9).
    Type: Grant
    Filed: June 5, 2000
    Date of Patent: March 4, 2003
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Felix Mullis
  • Patent number: 6508913
    Abstract: A gas distribution system for processing a semiconductor substrate includes a plurality of gas supplies, a mixing manifold wherein gas from the plurality of gas supplies is mixed together, a plurality of gas supply lines delivering the mixed gas to different zones in the chamber, and a control valve. The gas supply lines include a first gas supply line delivering the mixed gas to a first zone in the chamber and a second gas supply line delivering the mixed gas to a second zone in the chamber. The control valve controls a rate of flow of the mixed gas in the first and/or second gas supply line such that a desired ratio of flow rates of the mixed gas is achieved in the first and second gas supply lines.
    Type: Grant
    Filed: October 25, 2001
    Date of Patent: January 21, 2003
    Assignee: Lam Research Corporation
    Inventors: Brian K. McMillin, Robert Knop
  • Publication number: 20030010447
    Abstract: The linear controlling of the pressure of a vacuum chamber, such as a plasma etch chamber used in semiconductor processing, is disclosed. A plasma etch chamber pressure control mechanism includes an aperture diaphragm and a number of aperture blades rotatably mounted on the aperture diaphragm. The diaphragm defines a contractible and expandable aperture for controlling the pressure of the chamber. Rotation of the aperture blades in a first direction contracts the aperture by causing movement of the blades towards the aperture, increasing the pressure of the chamber. Rotation of the aperture blades in a second direction opposite to the first direction expands the aperture by causing movement of the blades away from the aperture, decreasing the pressure of the chamber.
    Type: Application
    Filed: July 13, 2001
    Publication date: January 16, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Lin Kun Tzu
  • Publication number: 20030000644
    Abstract: A system for monitoring and/or controlling an etch process associated with a dual damascene process via scatterometry based processing is provided. The system includes one or more light sources, each light source directing light to one or more features and/or gratings on a wafer. Light reflected from the features and/or gratings is collected by a measuring system, which processes the collected light. The collected light is indicative of the etch results achieved at respective portions of the wafer. The measuring system provides etching related data to a processor that determines the desirability of the etching of the respective portions of the wafer. The system also includes one or more etching devices, each such device corresponding to a portion of the wafer and providing for the etching thereof. The processor produces a real time feed forward information to control the etch process, in particular, terminating the etch process when desired end points have been encountered.
    Type: Application
    Filed: June 27, 2001
    Publication date: January 2, 2003
    Inventors: Ramkumar Subramanian, Bhanwar Singh, Michael K. Templeton
  • Publication number: 20020195057
    Abstract: An apparatus for forming a semiconductor structure is provided. The apparatus includes a chamber and a plurality of first material sources positioned at least partially within the chamber. The plurality of first material sources are configured to provide materials for the formation of a monocrystalline accommodating buffer layer on a substrate. The plurality of first material sources includes an oxygen source. At least one second material source is also positioned at least partially within the chamber and is configured to provide material for the formation of a monocrystalline oxygen-doped material layer overlying the monocrystalline accommodating buffer layer. The apparatus also includes an oxygen-adjustment mechanism configured to adjust the partial pressure of oxygen in the chamber.
    Type: Application
    Filed: June 21, 2001
    Publication date: December 26, 2002
    Applicant: MOTOROLA, INC.
    Inventors: Ravindranath Droopad, Scott T. Massie