For Detection Or Control Of Pressure Or Flow Of Etchant Gas Patents (Class 156/345.26)
  • Patent number: 7789991
    Abstract: A method for etching features in a silicon oxide based dielectric layer over a substrate, comprising performing an etch cycle. A lag etch partially etching features in the silicon oxide based dielectric layer is performed, comprising providing a lag etchant gas, forming a plasma from the lag etchant gas, and etching the etch layer with the lag etchant gas, so that smaller features are etched slower than wider features. A reverse lag etch further etching the features in the silicon oxide based dielectric layer is performed comprising providing a reverse lag etchant gas, which is different from the lag etchant gas and is more polymerizing than the lag etchant gas, forming a plasma from the reverse lag etchant gas, and etching the silicon oxide based dielectric layer with the plasma formed from the reverse lag etchant gas, so that smaller features are etched faster than wider features.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: September 7, 2010
    Assignee: Lam Research Corporation
    Inventors: Binet A. Worsham, Sean S. Kang, David Wei, Vinay Pohray, Bi Ming Yen
  • Publication number: 20100216312
    Abstract: This invention provides a resist removing apparatus for removing a resist comprising a deteriorated layer and an undeteriorated layer from a substrate. The apparatus carries out the step of bringing radicals, reduced by subjecting any one of or a mixture of two or more of nitrogen, oxygen, hydrogen, and steam to plasma treatment under a low pressure, into contact with the substrate to remove the resist, and the step of bringing ozone water into contact with the substrate to remove the resist. In the step of removing the resist by radicals, a large part of the undeteriorated layer is allowed to remain by regulating the radical contact time depending upon conditions for the formation of the deteriorated layer on the resist surface. Alternatively, a large part of the undeteriorated layer may be allowed to remain by conducting process control according to the results of analysis of a reactant gas discharged during the removal of the resist.
    Type: Application
    Filed: May 23, 2008
    Publication date: August 26, 2010
    Applicants: SHARP KABUSHIKI KAISHA, AQUA SCIENCE CORPORATION
    Inventors: Hiroaki Yamamoto, Takashi Minamihonoki, Shinji Masuoka, Yoshishige Ninomiya, Kyota Morihira
  • Patent number: 7771561
    Abstract: An apparatus and a method for surface treatment of substrates whereby the quality of substrates can be maintained by preventing excessive plasma treatment of substrates. In carrying out the plasma treatment on a surface of the substrate in a reaction chamber, there are provided an emission spectroscopic analysis device or a mass analyzer, and a controller, so that the energy of ions in plasma is controlled to decrease when, e.g., bromine included in the substrate is detected, and the surface treatment to the substrate is controlled to stop when the removal of impurities of the substrate is detected to end. The bromine once separated from the substrate is prevented from adhering again to the substrate and corroding the substrate. Moreover, ions are prevented from being excessively irradiated to the substrate when the removal of impurities ends, thereby reducing damage to the substrate.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: August 10, 2010
    Assignee: Panasonic Corporation
    Inventors: Naoki Suzuki, Kazuto Nishida, Kazuyuki Tomita
  • Publication number: 20100175830
    Abstract: A method for etching a bevel edge of a substrate is provided. A patterned photoresist mask is formed over the etch layer. The bevel edge is cleaned comprising providing a cleaning gas comprising at least one of a CO2, CO, CxHy, H2, NH3, CxHyFz and a combination thereof, forming a cleaning plasma from the cleaning gas, and exposing the bevel edge to the cleaning plasma. Features are etched into the etch layer through the photoresist features and the photoresist mask is removed.
    Type: Application
    Filed: March 23, 2010
    Publication date: July 15, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Yunsang KIM, Andrew BAILEY, III, Jack CHEN
  • Publication number: 20100178769
    Abstract: A method for forming an array area with a surrounding periphery area, wherein a substrate is disposed under an etch layer, which is disposed under a patterned organic mask defining the array area and covers the entire periphery area is provided. The patterned organic mask is trimmed. An inorganic layer is deposited over the patterned organic mask where a thickness of the inorganic layer over the covered periphery area of the organic mask is greater than a thickness of the inorganic layer over the array area of the organic mask. The inorganic layer is etched back to expose the organic mask and form inorganic spacers in the array area, while leaving the organic mask in the periphery area unexposed. The organic mask exposed in the array area is stripped, while leaving the inorganic spacers in place and protecting the organic mask in the periphery area.
    Type: Application
    Filed: January 9, 2009
    Publication date: July 15, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: S. M. Reza Sadjadi, Amit Jain
  • Publication number: 20100170872
    Abstract: The plasma processing apparatus includes: a processing container including a metal; an electromagnetic wave source outputting an electromagnetic wave; a dielectric plate facing an inner wall of the processing container and transmitting the electromagnetic wave, which is output from the electromagnetic wave source, into the processing container; and a groove formed in an inner surface of the processing container and functioning as a propagation disturbing portion. If a low frequency microwave is supplied, the propagation of a conductor surface wave can be suppressed by the groove.
    Type: Application
    Filed: June 11, 2008
    Publication date: July 8, 2010
    Applicants: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Publication number: 20100170640
    Abstract: Determining a high frequency operating parameter in a plasma system including a plasma power supply device coupled to a plasma load using a hybrid coupler having four ports is accomplished by: generating two high frequency source signals of identical frequency, the signals phase shifted by 90° with respect to one another; generating a high frequency output signal by combining the high frequency source signals in the hybrid coupler; transmitting the high frequency output signal to the plasma load; detecting two or more signals, each signal corresponding to a respective port of the hybrid coupler and related to an amplitude of a high frequency signal present at the respective port; and based on an evaluation of the two or more signals, determining the high frequency operating parameter.
    Type: Application
    Filed: January 22, 2010
    Publication date: July 8, 2010
    Applicant: HUETTINGER ELEKTRONIK GMBH + CO. KG
    Inventors: Thomas Kirchmeier, Gerd Hintz
  • Publication number: 20100163182
    Abstract: An object is to provide a plasma processing device capable of accurately judging whether or not the proper maintenance time has come which is necessary for maintaining an operation state of a device in the best condition. A discharge detection sensor 23, in which a dielectric member 21 and a probe electrode unit 22 are combined with each other, is attached to an opening portion 2a provided in a lid portion 2 composing a vacuum chamber.
    Type: Application
    Filed: August 21, 2008
    Publication date: July 1, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Tatsuhiro Mizukami, Kiyoshi Arita, Masaru Nonomura
  • Publication number: 20100154995
    Abstract: A computer readable storage medium storing a program for performing an operation method of a substrate processing apparatus is provided. The operation method includes the steps of introducing a nonreactive gas into the vacuum preparation chamber before the gate valve is opened while the substrate is transferred between the vacuum preparation chamber of the vacuum processing unit and the transfer unit, stopping introducing the nonreactive gas when an inner pressure of the vacuum preparation chamber becomes same as an atmospheric pressure, starting an evacuation process of the corrosive gas in the vacuum preparation chamber and then opening to atmosphere performed by letting the vacuum preparation chamber communicate with an atmosphere, and opening the gate valve after the step of opening to atmosphere.
    Type: Application
    Filed: March 5, 2010
    Publication date: June 24, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tomoyuki KUDO, Jun OZAWA, Hiroshi NAKAMURA, Kazunori KAZAMA, Tsuyoshi MORIYA, Hiroyuki NAKAYAMA, Hiroshi NAGAIKE
  • Patent number: 7740704
    Abstract: A processing system for performing atomic layer deposition (ALD) including a process chamber, a substrate holder provided within the process chamber, and a gas injection system configured to supply a first process gas and a second process gas to the process chamber. The gas injection system is configured to introduce the first process gas and the second process gas to the processing chamber at a first location and a second location, wherein at least one of the first process gas and the second process gas is alternatingly and sequentially introduced between the first location and the second location.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: June 22, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Eric J. Strang
  • Publication number: 20100148317
    Abstract: A method for forming a feature in an etch layer is provided. A photoresist layer is formed over the etch layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A control layer is formed over the photoresist layer and bottoms of the photoresist features. A conformal layer is deposited over the sidewalls of the photoresist features and control layer to reduce the critical dimensions of the photoresist features. Openings in the control layer are opened with a control layer breakthrough chemistry. Features are etched into the etch layer with an etch chemistry, which is different from the control layer break through chemistry, wherein the control layer is more etch resistant to the etch with the etch chemistry than the conformal layer.
    Type: Application
    Filed: February 24, 2010
    Publication date: June 17, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Sangheon LEE, Dae-Han CHOI, Jisoo KIM, Peter CIRIGLIANO, Zhisong HUANG, Robert CHARATAN, S.M. Reza SADJADI
  • Publication number: 20100132889
    Abstract: A method for etching an ultra high aspect ratio feature in a dielectric layer through a carbon based mask is provided. The dielectric layer is selectively etched with respect to the carbon based mask, wherein the selective etching provides a net deposition of a fluorocarbon based polymer on the carbon based mask. The selective etch is stopped. The fluorocarbon polymer is selectively removed with respect to the carbon based mask, so that the carbon based mask remains, using a trimming. The selectively removing the fluorocarbon polymer is stopped. The dielectric layer is again selectively etched with respect to the carbon based mask, wherein the second selectively etching provides a net deposition of a fluorocarbon based polymer on the carbon based mask.
    Type: Application
    Filed: February 2, 2010
    Publication date: June 3, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Kyeong-Koo CHI, Erik A. Edelberg
  • Publication number: 20100126963
    Abstract: In a system and method of etching a sample disposed in an etching chamber, a plurality of separately stored charges of an etching gas is discharged, one at a time, into a sample etching chamber. The discharge of each charge of etching gas occurs such that a momentary overlap exists in the end discharge of one charge of etching gas with the beginning discharge of another charge of etching gas, whereupon the desired flow of etching gas into the etching chamber is maintained. During discharge of one charge of etching gas, a previously discharged charge of etching gas is recharged. The process of discharging a plurality of separately stored charges of an etching gas, one at a time, and recharging at least one previously discharged charges of etching gas during the discharge of at least one charge of etching gas continues until the sample is etched to a desired extent.
    Type: Application
    Filed: November 30, 2006
    Publication date: May 27, 2010
    Applicant: XACTIX, INC.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Publication number: 20100116786
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Application
    Filed: January 20, 2010
    Publication date: May 13, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Masaru Nishino
  • Publication number: 20100116787
    Abstract: When a substrate is etched by using a processing gas including a first gas containing halogen and carbon and having a carbon number of two or less per molecule, while supplying the processing gas toward the substrate independently from a central and a peripheral portion of a gas supply unit, which face the central and the periphery part of the substrate respectively, the processing gas is supplied such that a gas flow rate is greater in the central portion than in the peripheral portion. When the substrate is etched by using a processing gas including a second gas containing halogen and carbon and having a carbon number of three or more per molecule, the processing gas is supplied such that a gas flow rate is greater in the peripheral portion than in the central portion.
    Type: Application
    Filed: January 20, 2010
    Publication date: May 13, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Masaru Nishino
  • Publication number: 20100112464
    Abstract: According to an aspect of the present invention, there is provided a method for correcting a defect in an EUV mask, the method including: preparing an EUV mask including an absorption layer and an anti-reflection layer forming a pattern; recognizing a defect region in the pattern; defining a first region and a second region on the defect region, the second region extending from a desired pattern edge by a given distance, the first region being defined on the rest; removing the first region of the anti-reflection layer and the absorption layer by irradiating a beam in a first atmosphere; removing the second region of the anti-reflection layer and the absorption layer by irradiating the beam in a second atmosphere; and oxidizing an exposed side surface of the desired pattern edge of the absorption layer.
    Type: Application
    Filed: September 10, 2009
    Publication date: May 6, 2010
    Inventor: Shingo KANAMITSU
  • Publication number: 20100105209
    Abstract: A method and apparatus for etching a silicon layer through a patterned mask formed thereon are provided. The silicon layer is placed in an etch chamber. An etch gas comprising a fluorine containing gas and an oxygen and hydrogen containing gas is provided into the etch chamber. A plasma is generated from the etch gas and features are etched into the silicon layer using the plasma. The etch gas is then stopped. The plasma may contain OH radicals.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 29, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Jaroslaw W. Winniczek, Robert P. Chebi
  • Publication number: 20100096361
    Abstract: Universal plasma unconfinement detection systems configured to detect the plasma unconfinement condition in the plasma processing chamber and methods therefor. The detection systems and methods are designed to reliably and accurately detect the existence of the plasma unconfinement condition in a process-independent and recipe-independent manner.
    Type: Application
    Filed: June 28, 2006
    Publication date: April 22, 2010
    Inventors: Andreas Fischer, David Pirkle
  • Publication number: 20100078128
    Abstract: A gas flow of a gas pipe is indicated before an electromagnetic valve is actually opened, so that the electromagnetic valve can be prevented from being opened or closed by a wrong manipulation or hazards caused by undesired mixing of gases can be avoided so as to improve safety. The substrate processing apparatus includes a state detection unit configured to detect an opening/closing request state and an opening/closing state of a valve installed at a gas pipeline; and a indication unit configured to indicate a gas flow state of the gas pipeline predicted according to the opening/closing request state and a gas flow state of the gas pipeline when the valve is opened, in a way that each state is distinguished.
    Type: Application
    Filed: September 28, 2009
    Publication date: April 1, 2010
    Inventors: Tomoyuki YAMADA, Mamoru Oishi, Kanako Kitayama
  • Publication number: 20100081285
    Abstract: The invention can provide apparatus and methods of processing a substrate in real-time using subsystems and processing sequences created to improve the etch resistance of photoresist materials. In addition, the improved photoresist layer can be used to more accurately control gate and/or spacer critical dimensions (CDs), to control gate and/or spacer CD uniformity, and to eliminate line edge roughness (LER) and line width roughness (LWR).
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Lee Chen, Merritt Funk, Radha Sundararajan
  • Publication number: 20100081284
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing a substrate includes a flow equalizer configured to control the flow of gases between a process volume and an exhaust port of a process chamber. The flow equalizer includes at least one restrictor plate configured to be disposed in a plane proximate a surface of a substrate to be processed and defines an azimuthally non-uniform gap between an edge of the at least one restrictor plate and one of either a chamber wall or a substrate support when installed in the process chamber.
    Type: Application
    Filed: September 29, 2008
    Publication date: April 1, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: AJIT BALAKRISHNA, Aniruddha Pal, James D. Carducci, Semyon L. Kats, Shahid Rauf
  • Publication number: 20100071438
    Abstract: A method for determining the flow rate of a gas includes measuring a first concentration of a calibration gas provided to the process chamber at a first pressure and temperature by directing infrared radiation into the process chamber and monitoring a first amount of infrared radiation absorbed by the calibration gas. A mixture of a second gas and the calibration gas is provided to the process chamber while maintaining the first pressure and temperature. A second concentration of the calibration gas in the mixture is measured by directing infrared radiation into the process chamber and monitoring a second amount of infrared radiation absorbed by the calibration gas. A flow rate of the second gas is calculated by comparing the first and second concentrations of the calibration gas. In one embodiment, the calibration gas and the second gas may not absorb the infrared radiation at the same wavelength.
    Type: Application
    Filed: September 19, 2008
    Publication date: March 25, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Matthew F. Davis, Thorsten B. Lill, Quentin E. Walker
  • Patent number: 7682479
    Abstract: A method for forming fin structures is provided. Sacrificial structures are provided on a substrate. Fin structures are formed on the sides of the sacrificial structures. The forming of the fin structures comprises a plurality of cycles, wherein each cycle comprises a fin deposition phase and a fin profile shaping phase. The sacrificial structure is removed.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: Zhi-Song Huang, S. M. Reza Sadjadi
  • Patent number: 7682979
    Abstract: A method of forming devices is provided. A phase change layer is provided. The phase change layer is etched by providing an etch gas comprising a bromine containing compound and forming a plasma from the etch gas. The phase change layer is of a material that may be heated by a current and then when cooled, either forms an amorphous material or a crystalline material, depending on how fast the material is cooled. In addition, the amorphous material has a resistance at least several times greater than the crystalline material.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: Qian Fu, Shenjian Liu, Linda Fung-Ming Lee
  • Publication number: 20100068885
    Abstract: An etch layer underlying a patterned photoresist mask is provided. A plurality of sidewall forming processes are performed. Each sidewall forming process comprises depositing a protective layer on the patterned photoresist mask by performing multiple cyclical depositions. Each cyclical deposition involves at least a depositing phase for depositing a deposition layer over surfaces of the patterned photoresist mask and a profile shaping phase for shaping vertical surfaces in the deposition layer. Each sidewall forming process further comprises a breakthrough etch for selectively etching horizontal surfaces of the protective layer with respect to vertical surfaces of the protective layer. Afterwards, the etch layer is etched to form a feature having a critical dimension that is less than the critical dimension of the features in the patterned photoresist mask.
    Type: Application
    Filed: September 18, 2008
    Publication date: March 18, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Peter CIRIGLIANO, Helen Zhu, Ji Soo Kim, S. M. Sadjadi
  • Publication number: 20100047932
    Abstract: Disclosed is a substrate processing apparatus to supply processing liquid having a predetermined flow rate and concentration to a substrate processing unit of the substrate processing apparatus with high accuracy. The substrate processing apparatus processes substrates in a plurality of substrate processing units by using the processing liquid supplied from a processing liquid supply part. If the flow rate of the processing liquid simultaneously used by the substrate processing units is less than a control flow rate that is controllable at the processing liquid supply part, the processing liquid is supplied from the processing liquid supply part such that the flow rate of the processing liquid is substantially identical to the control flow rate.
    Type: Application
    Filed: August 18, 2009
    Publication date: February 25, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Shigenori Kitahara
  • Publication number: 20100043973
    Abstract: The present invention relates to a plasma processor capable of regulating the temperature of the inner surface of the processing chamber efficiently and with excellent response, with a low-cost configuration. A plasma processor 1 includes a processing chamber 11, a processing gas supply device 20, an exhaust device 40, coils 23, a high-frequency power supply unit 24, a heater 26, a cooling device 30, and a control device 50. The cooling device 30 is configured with a cooling member 32 facing the processing chamber 11 at a distance therefrom, a cooling fluid supply section 31 for supplying cooling fluid into a cooling passage 32a of the cooling member 32 and circulates it, and annular seal members 35 and 36 provided between the cooling member 32 and the processing chamber 11. The exhaust device 40 reduces the pressure in a space S surrounded by the seal members 35 and 36, the cooling member 32, and the processing chamber 11.
    Type: Application
    Filed: February 26, 2008
    Publication date: February 25, 2010
    Inventor: Toshihiro Hayami
  • Publication number: 20100022091
    Abstract: Described herein are methods and apparatuses for etching low-k dielectric layers to form various interconnect structures. In one embodiment, the method includes forming an opening in a resist layer. The method further includes etching a porous low-k dielectric layer with a process gas mixture that includes a fluorocarbon gas and a carbon dioxide (CO2) gas to form vias. The fluorocarbon gas may be C4F6 gas. A ratio of a flow rate of the C4F6 gas to a flow rate of the CO2 gas can vary from approximately 1:2 to 1:10. In another embodiment, the porous low-k dielectric layer is etched with a process gas mixture that includes a fluorocarbon gas and an argon gas with no CHF3 gas to form trenches aligned with the vias in an integrated dual-damascene structure. The fluorocarbon gas may be CF4 gas.
    Type: Application
    Filed: July 25, 2008
    Publication date: January 28, 2010
    Inventors: SIYI LI, Qingjun Zhou, Ryan Patz, Yifeng Zhou, Jeremiah Pender, Michael D. Armacost
  • Publication number: 20100015809
    Abstract: A method for reducing very low frequency line width roughness (LWR) in forming etched features in an etch layer disposed below a patterned organic mask is provided. The patterned organic mask is treated to reduce very low frequency line width roughness of the patterned organic mask, comprising flowing a treatment gas comprising H2, wherein the treatment gas has a flow rate and H2 has a flow rate that is at least 50% of the flow rate of the treatment gas, forming a plasma from the treatment gas, and stopping the flow of the treatment gas. The etch layer is etched through the treated patterned organic mask with the reduced very low LWR.
    Type: Application
    Filed: July 17, 2008
    Publication date: January 21, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Yoko Y. Adams, David Yang
  • Publication number: 20100000964
    Abstract: A method and system for etching a substrate is described and, in particular, a method for etching large, high aspect ratio features, such as those in micro-electromechanical devices (MEMs), is also described. The method comprises disposing a substrate in a processing system, forming plasma having a substantial population of negatively-charged ions, and etching one or more features in the substrate using the negative ion population.
    Type: Application
    Filed: July 1, 2008
    Publication date: January 7, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Lee Chen
  • Publication number: 20090286333
    Abstract: A method of etching a semiconductor wafer is provided. The method comprises the steps of: jetting a mixed gas including hydrogen fluoride and ozone onto a surface of a semiconductor wafer; monitoring the surface of the semiconductor wafer; analyzing the surface of the semiconductor wafer; and adjusting at least one of the hydrogen fluoride concentration and the ozone concentration in the mixed gas based on a result of the analysis.
    Type: Application
    Filed: May 13, 2009
    Publication date: November 19, 2009
    Inventors: Kazuaki Kozasa, Tomonori Kawasaki
  • Publication number: 20090280304
    Abstract: A method of forming a pattern on a nitrocellulose film on a substrate by providing a nitrocellulose based film of uniform porosity on a substrate; defining a desired pattern on said substrate wherein at least one region of lower porosity is defined and wherein at least one region of normal porosity is defined; exposing to a flow of a suitable solvent vapor over said region of lower porosity wherein said nitrocellulose based film of said region of lower porosity is dissolved by said suitable solvent vapor; removing said suitable solvent vapor and said nitrocellulose based film from said region of lower porosity wherein said lower porosity is capable of separating multiple experiments that are performed simultaneously over said region of normal porosity.
    Type: Application
    Filed: May 7, 2009
    Publication date: November 12, 2009
    Inventors: Ko-Yuan Tseng, Shaw-Tzu Wang, James Russell Webster
  • Publication number: 20090258502
    Abstract: A method for selectively etching a high-k dielectric layer with respect to a polysilicon material is provided. The high-k dielectric layer is partially removed by Ar sputtering, and then the high-k dielectric layer is etched using an etching gas comprising BCl3. The high-k dielectric layer and the polysilicon material may be formed on a substrate. In order to partially remove the high-k dielectric layer, a sputtering gas containing Ar is provided into an etch chamber in which the substrate is placed, a plasma is generated from the sputtering gas, and then the sputtering gas is stopped. In order to etch the high-k dielectric layer, the etching gas is provided into the etch chamber, a plasma is generated from the etching gas, and then the etching gas is stopped.
    Type: Application
    Filed: April 10, 2009
    Publication date: October 15, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: In Deog BAE, Qian FU, Wonchul LEE, Shenjian LIU
  • Publication number: 20090253265
    Abstract: Provided is a method and a substrate processing apparatus for fabricating a semiconductor device by forming a film at a relatively high rate without etching an N+ substrate. In the method, a silicon substrate is loaded into a processing chamber in a first step. In a second step, at least a first silane-based gas and a first etching gas is supplied to the processing chamber while heating the semiconductor substrate. In a third step, at least a second silane-based gas and a second etching gas is supplied to the processing chamber while heating the semiconductor substrate.
    Type: Application
    Filed: September 24, 2008
    Publication date: October 8, 2009
    Inventors: Yasuhiro Inokuchi, Atsushi Moriya
  • Publication number: 20090223633
    Abstract: A plasma etching apparatus capable of performing processing with excellent in-plane uniformity on an object to be processed having a large diameter is provided.
    Type: Application
    Filed: May 4, 2009
    Publication date: September 10, 2009
    Inventors: Go MIYA, Manabu EDAMURA, Ken YOSHIOKA, Ryoji NISHIO
  • Publication number: 20090206056
    Abstract: A multi-station workpiece processing system provides a targeted equal share of a regulated input process gas flow to each active processing station of a plurality of active processing stations using a single gas flow regulator for each gas and irrespective of the number of inactive processing stations.
    Type: Application
    Filed: February 6, 2009
    Publication date: August 20, 2009
    Inventors: Songlin Xu, Daniel J. Devine, Wen Ma, Ce Qin, Vijay Vaniapura
  • Publication number: 20090195777
    Abstract: The present invention generally provides methods and apparatus for controlling ion dosage in real time during plasma processes. In one embodiment, ion dosages may be controlled using in-situ measurement of the plasma from a mass distribution sensor combined with in-situ measurement from an RF probe.
    Type: Application
    Filed: April 2, 2009
    Publication date: August 6, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Kartik Ramaswamy, Seon-Mee Cho, Tsutomu Tanaka, Majeed A. Foad
  • Patent number: 7563328
    Abstract: A gas injection system (10) is provided for a processing reactor and a method is provided for reducing transport of particulate material onto a substrate (12) during process gas start-up. The system (10) includes a two-way valve (40) having an inlet (42) connected to a mass flow controller (30), and first and second outlets (44, 46). The system (10) includes a principle gas feed line (50) connecting the first outlet (44) of the valve (40) to an inject plate (24) within a vacuum chamber (20) at a position above a substrate (12), and a start-up line (60) connecting the second outlet (46) to an orifice (62) in the chamber (20) at a position not above the substrate (12). Alternatively, the system includes a valve having an inlet connected to the mass flow controller, and a first outlet. In the alternative system, a first gas feed line connects the first outlet of the valve to the inject plate (24), and an acoustical dampening device is provided within the first gas feed line.
    Type: Grant
    Filed: January 22, 2002
    Date of Patent: July 21, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Eric J. Strang
  • Publication number: 20090163035
    Abstract: A method for etching features into an etch layer is provided. A patterned mask is formed over the etch layer, wherein the patterned mask is of a high etch rate photoresist material, wherein the patterned mask has patterned mask features. A protective layer is deposited on the patterned mask of high etch rate photoresist material by performing a cyclical deposition, wherein each cycle, comprises a depositing phase for depositing a deposition layer over the exposed surfaces, including sidewalls of the patterned mask of high etch rate photoresist material and a profile shaping phase for providing vertical sidewalls. Features are etched into the etch layer using the protective layer as a mask. The protective layer is removed.
    Type: Application
    Filed: December 19, 2008
    Publication date: June 25, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Andrew R. Romano, S. M. Reza Sadjadi
  • Patent number: 7540935
    Abstract: A method of etching a conductive layer includes converting at least a portion of the conductive layer and etching the conductive layer to substantially remove the converted portion of the conductive layer and thereby expose a remaining surface. The remaining surface has an average surface roughness of less than about 10 nm. A system for etching a conductive layer is also disclosed.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: June 2, 2009
    Assignee: Lam Research Corporation
    Inventors: Yunsang Kim, Andrew D. Bailey, III, Hyungsuk Alexander Yoon, Arthur M. Howald
  • Publication number: 20090137125
    Abstract: Disclosed is an etching method for etching a target layer formed on a surface of a target object, including: a resist forming step for forming a resist layer uniformly on the surface of the target object; a mask forming step for forming a patterned etching mask by forming an etching recess on the resist layer; a plasma resistant film forming step for forming a plasma resistant film on the entire surface of the etching mask including a bottom and a sidewall of the etching recess; a bottom plasma resistant film removing step for removing the plasma resistant film formed on the bottom of the etching recess; and a main etching step for etching the target layer by using the etching mask as a mask, after the bottom plasma resistant film removing step.
    Type: Application
    Filed: October 26, 2006
    Publication date: May 28, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshihisa Nozawa, Tetsuya Nishizuka
  • Publication number: 20090127227
    Abstract: Provided are a plasma processing apparatus and a plasma processing method, by which plasma damage is reduced during processing. At the time of performing desired plasma processing to a substrate (5), a process chamber (2) is supplied with an inert gas for carrying in and out the substrate (5), pressure fluctuation in the process chamber (2) is adjusted to be within a prescribed range, and plasma (20) of the inert gas supplied in the process chamber (2) is generated. The density of the plasma (20) in the transfer area of the substrate (5) is reduced by controlling plasma power to be in a prescribed range, and the substrate (5) is carried in and out to and from a supporting table (4).
    Type: Application
    Filed: February 15, 2007
    Publication date: May 21, 2009
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Ryuichi Matsuda, Masahiko Inoue, Kazuto Yoshida, Tadashi Shimazu
  • Publication number: 20090130855
    Abstract: A method of forming devices is provided. A phase change layer is provided. The phase change layer is etched by providing an etch gas comprising a bromine containing compound and forming a plasma from the etch gas. The phase change layer is of a material that may be heated by a current and then when cooled, either forms an amorphous material or a crystalline material, depending on how fast the material is cooled. In addition, the amorphous material has a resistance at least several times greater than the crystalline material.
    Type: Application
    Filed: June 29, 2006
    Publication date: May 21, 2009
    Inventors: Qian Fu, Shenjian Liu, Linda Fung-Ming Lee
  • Publication number: 20090087996
    Abstract: To achieve the foregoing and in accordance with the purpose of the present invention a method for etching an etch layer disposed below an antireflective coating (ARC) layer below a patterned mask is provided. The ARC layer is opened, and features are etched into the etch layer through the patterned mask. The opening the ARC layer includes (1) providing an ARC opening gas comprising a halogen containing gas, COS, and an oxygen containing gas, (2) forming a plasma from the ARC opening gas to open the ARC layer, and (3) stopping providing the ARC opening gas to stop the plasma. The patterned mask may be a photoresist (PR) mask having a line-space pattern. COS in the ARC opening gas reduces line width roughness (LWR) of the patterned features of the etch layer.
    Type: Application
    Filed: September 15, 2008
    Publication date: April 2, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Kyeong-Koo Chi, Jonathan Kim
  • Publication number: 20090084501
    Abstract: A processing system for producing a negative ion plasma is described, wherein a quiescent plasma having negatively-charged ions is produced. The processing system comprises a first chamber region for generating plasma using a first process gas, and a second chamber region separated from the first chamber region with a separation member. Electrons from plasma in the first region are transported to the second region to form quiescent plasma through collisions with a second process gas. A pressure control system coupled to the second chamber region is utilized to control the pressure in the second chamber region such that the electrons from the first chamber region undergo collision-quenching with the second process gas to form less energetic electrons that produce the quiescent plasma having negatively-charged ions.
    Type: Application
    Filed: September 27, 2007
    Publication date: April 2, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Lee CHEN, Merritt FUNK
  • Publication number: 20090084758
    Abstract: A method for etching a bevel edge of a substrate in a processing chamber is provided. The method includes flowing an inert gas into a center region of the processing chamber defined above a center region of the substrate and flowing a mixture of an inert gas and a processing gas over an edge region of the substrate. The method further includes striking a plasma in the edge region, wherein the flow of the inert gas and the flow of the mixture maintain a mass fraction of the processing gas substantially constant. A processing chamber configured to clean a bevel edge of a substrate is also provided.
    Type: Application
    Filed: October 2, 2007
    Publication date: April 2, 2009
    Inventors: Jack Chen, Andrew D. Bailey, III, Iqbal Shareef
  • Publication number: 20090087990
    Abstract: A manufacturing method of a semiconductor device, which etches a layer to be etched on a substrate into a predetermined pattern based on a first pattern of photoresist produced by exposing and developing a photoresist film, the manufacturing method including the steps of forming an SiO2 film on a first pattern of the photoresist, etching the SiO2 film so that the SiO2 may remain only in a side wall section of a first pattern of the photoresist, removing a first pattern of the photoresist, and forming a second pattern of the SiO2 film.
    Type: Application
    Filed: September 24, 2008
    Publication date: April 2, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Koichi Yatsuda, Eiichi Nishimura
  • Publication number: 20090087991
    Abstract: A manufacturing method of a semiconductor device, which etches a layer to be etched on a substrate into a predetermined pattern based on a first pattern of photoresist produced by exposing and developing a photoresist film, the manufacturing method includes the steps of, patterning an organic membrane based on a first pattern of the photoresist, forming an SiO2 film on the patterned organic membrane, etching the SiO2 film so that the SiO2 remains only in a side wall section of the organic membrane and forming a second pattern of the SiO2 film by removing the organic membrane.
    Type: Application
    Filed: September 24, 2008
    Publication date: April 2, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Koichi Yatsuda, Eiichi Nishimura
  • Publication number: 20090065477
    Abstract: In a system and method of etching a sample disposed in an etching chamber, a plurality of separately stored charges of an etching gas is discharged, one at a time, into a sample etching chamber. The discharge of each charge of etching gas occurs such that a momentary overlap exists in the end discharge of one charge of etching gas with the beginning discharge of another charge of etching gas, whereupon the desired flow of etching gas into the etching chamber is maintained. During discharge of one charge of etching gas, a previously discharged charge of etching gas is recharged. The process of discharging a plurality of separately stored charges of an etching gas, one at a time, and recharging at least one previously discharged charges of etching gas during the discharge of at least one charge of etching gas continues until the sample is etched to a desired extent.
    Type: Application
    Filed: November 30, 2006
    Publication date: March 12, 2009
    Applicant: XACTIX, INC.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Publication number: 20090061541
    Abstract: Zero point shift based on thermal siphon effect occurring actually when a substrate is processed is detected accurately and corrected suitably. The semiconductor fabrication system comprises a gas supply passage (210) for supplying gas into a heat treatment unit (110), an MFC (240) for comparing an output voltage from a detecting unit for detecting the gas flow rate of the gas supply passage with a set voltage corresponding to a preset flow rate and controlling the gas flow rate of the gas supply passage to the set flow rate, and a control unit (300).
    Type: Application
    Filed: June 28, 2006
    Publication date: March 5, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shuji Moriya, Tsuneyuki Okabe, Hiroyuki Ebi, Tetsuo Shimizu, Hitoshi Kitagawa