For Detection Or Control Of Pressure Or Flow Of Etchant Gas Patents (Class 156/345.26)
  • Publication number: 20110308544
    Abstract: The present invention provides a manufacturing method of a multilayer film, a manufacturing method of a magnetoresistance effect device, and a substrate treatment apparatus, capable of shortening the time of a cleaning step. In one embodiment of the present invention, the inside of an etching apparatus is cleaned by plasma of a mixed gas containing H2 gas and O2 gas between processes. This shortens the cleaning time to improve the productivity.
    Type: Application
    Filed: March 23, 2011
    Publication date: December 22, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Tomoaki Osada, Franck Ernult
  • Patent number: 8075728
    Abstract: A flow equalizer plate is provided for use in a substrate process chamber. The flow equalizer plate has an annular shape with a flow obstructing inner region, and a perforated outer region that permits the passage of a processing gas, but retains specific elements in the processing gas, such as active radicals or ions. The inner and outer regions have varying radial widths so as to balance a flow of processing gas over a surface of a substrate. In certain embodiments, the flow equalizer plate may be utilized to correct chamber flow asymmetries due to a lateral offset of an exhaust port relative to a center line of a substrate support between the process volume and the exhaust port.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Balakrishna, Shahid Rauf, Andrew Nguyen, Michael D. Willwerth, Valentin N. Todorow
  • Publication number: 20110281438
    Abstract: A method for etching a conductive layer through a mask with wider and narrower features is provided. A steady state etch gas is flowed. A steady state RF power is provided to form a plasma from the etch gas. A pulsed bias voltage is provided during the steady state etch gas flow, wherein the pulsed bias voltage has a frequency between 1 to 10,000 Hz. Wider and narrower features are etched into the conductive layer using the plasma formed from the etch gas.
    Type: Application
    Filed: November 18, 2008
    Publication date: November 17, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Wonchul Lee, Qian Fu, Shenjian Liu, Bryan Pu
  • Patent number: 8058179
    Abstract: Higher overall etch rate and throughput for atomic layer removal (ALR) is achieved. The reaction is a self-limiting process, thus limiting the total amount of material that may be etched per cycle. By pumping down the process station between reacting operations, the reaction is partially “reset.” A higher overall etch rate is achieved by a multiple exposure with pump down ALR process.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: November 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Nerissa Draeger, Harald te Nijenhuis, Henner Meinhold, Bart van Schravendijk, Lakshmi Nittala
  • Publication number: 20110272098
    Abstract: A plasma processing apparatus includes: a discharge state detecting unit; a history information storing portion; a date-and-time data creating portion; an object specifying data output portion; a data recording portion; and a production history file creating portion. The data recording portion reads out date-and-time data, object specifying data, machine output data representing an operation state of a plasma processing execution portion, and judgment data representing a judgment result of the discharge state, and stores the data in the history information storing portion in time series, each time the plasma processing for the object to be processed is ended. The production history file creating portion that reads out the date-and-time data, the object specifying data, the machine output data, and the judgment result of the discharge state from the history information storing portion based on a designated period or date and time, and creates a production history file.
    Type: Application
    Filed: January 25, 2010
    Publication date: November 10, 2011
    Applicant: PANASONIC CORPORATION
    Inventor: Masaru Nonomura
  • Publication number: 20110265951
    Abstract: Methods and apparatus for twin chamber processing systems are disclosed, and, in some embodiments, may include a first process chamber and a second process chamber having independent processing volumes and a plurality of shared resources between the first and second process chambers. In some embodiments, the shared resources include at least one of a shared vacuum pump, a shared gas panel, or a shared heat transfer source.
    Type: Application
    Filed: October 20, 2010
    Publication date: November 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: MING XU, ANDREW NGUYEN, EVANS LEE, JARED AHMAD LEE, JAMES P. CRUSE, CORIE LYNN COBB, MARTIN JEFF SALINAS, ANCHEL SHEYNER, EZRA ROBERT GOLD, JOHN W. LANE
  • Patent number: 8043430
    Abstract: Apparatuses are provided for controlling flow conductance of plasma formed in a plasma processing apparatus that includes an upper electrode opposite a lower electrode to form a gap therebetween. The lower electrode is adapted to support a substrate and coupled to a RF power supply. Process gas injected into the gap is excited into the plasma state during operation. The apparatus includes a ground ring that concentrically surrounds the lower electrode and has a set of slots formed therein, and a mechanism for controlling gas flow through the slots.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: October 25, 2011
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Jerrel K. Antolik, Scott Stevenot
  • Publication number: 20110256726
    Abstract: Methods of depositing a film on a substrate surface include surface mediated reactions in which a film is grown over one or more cycles of reactant adsorption and reaction. In one aspect, the method is characterized by the following operations: (a) exposing the substrate surface to a first reactant in vapor phase under conditions allowing the first reactant to adsorb onto the substrate surface; (b) exposing the substrate surface to a second reactant in vapor phase while the first reactant is adsorbed on the substrate surface; and (c) exposing the substrate surface to plasma to drive a reaction between the first and second reactants adsorbed on the substrate surface to form the film.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 20, 2011
    Inventors: Adrien LaVoie, Shankar Swaminathan, Hu Kang, Ramesh Chandrasekharan, Tom Dorsh, Dennis M. Hausmann, Jon Henri, Thomas Jewell, Ming Li, Bryan Schlief, Antonio Xavier, Thomas W. Mountsier, Bart J. van Schravendijk, Easwar Srinivasan, Mandyam Sriram
  • Publication number: 20110223770
    Abstract: A method for selectively etching a nitride layer with respect to a silicon oxide based layer over a substrate is provided. The substrate is placed in a plasma processing chamber. The nitride layer is etched, comprising the steps of flowing a nitride etch gas comprising a hydrocarbon species, an oxygen containing species and a fluorocarbon or hydrofluorocarbon species into the plasma chamber, forming a plasma from the nitride etch gas, and using the plasma from the nitride etch gas to selectively etch the nitride layer with respect to the silicon oxide based layer.
    Type: Application
    Filed: March 15, 2010
    Publication date: September 15, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Alan Jensen, Mayumi Block
  • Patent number: 8002463
    Abstract: The publication discloses a method for determining a temperature of a substrate, comprising: providing a gas channel that is confined by at least one wall having a certain wall temperature; providing a substrate in said gas channel, proximate to the at least one wall, such that a gap exists between a surface of the substrate and the at least one wall; providing a gas flow with a certain mass flow rate through said gas channel, which gas flow extends at least partially through said gap; determining a pressure drop in the gas flow along the gas channel; and deriving from said pressure drop the temperature of said substrate using a pre-determined relation between the pressure drop along the gas channel, the wall temperature and the temperature of the substrate, at said mass flow rate. Also disclosed is a device for implementing the disclosed method.
    Type: Grant
    Filed: June 13, 2008
    Date of Patent: August 23, 2011
    Assignee: ASM International N.V.
    Inventors: Ernst H. A. Granneman, Pascal Vermont, Vladimir Kuznetsov
  • Publication number: 20110201208
    Abstract: According to one embodiment, a process gas containing a fluorocarbon-based gas being an etch gas having a deposition property and SF6 gas as an additional gas are introduced into a process chamber, a plasma is generated in the process chamber, and an etching is performed on a silicon-containing oxide film formed on a substrate by using a resist pattern as a mask through the plasma. At this time, based on a relationship between an etch rate and a resist selectivity that is changed with respect to a change in a flow rate of the additional gas, the flow rate of the additional gas is set to a range of the flow rate in which changes in the etch rate and the resist selectivity accompanying an increase in the flow rate of the additional gas tend to increase.
    Type: Application
    Filed: October 19, 2009
    Publication date: August 18, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masato Kawakami, Sumie Nagaseki
  • Publication number: 20110201134
    Abstract: A plasma reactor includes a vacuum enclosure including a side wall and a ceiling defining a vacuum chamber, and a workpiece support within the chamber and facing the ceiling for supporting a planar workpiece, the workpiece support and the ceiling together defining a processing region between the workpiece support and the ceiling. Process gas inlets furnish a process gas into the chamber. A plasma source power electrode is connected to an RF power generator for capacitively coupling plasma source power into the chamber for maintaining a plasma within the chamber. The reactor further includes at least a first overhead solenoidal electromagnet adjacent the ceiling, the overhead solenoidal electromagnet, the ceiling, the side wall and the workpiece support being located along a common axis of symmetry.
    Type: Application
    Filed: April 6, 2011
    Publication date: August 18, 2011
    Inventors: Daniel J. Hoffman, Matthew L. Miller, Jang Gyoo Yang, Heeyeop Chae, Michael Barnes, Tetsuya Ishikawa, Yan Ye
  • Publication number: 20110192820
    Abstract: An atomic layer etching apparatus using reactive radicals and neutral beams and an etching method using the same are provided.
    Type: Application
    Filed: February 25, 2010
    Publication date: August 11, 2011
    Applicant: SUNGKYUNKWAN UNIVERSITY Foundation for Corporate Collaboration
    Inventors: Geun-Young Yeom, Woong-Sun Lim, Sang-Duk Park, Yi-Yeon Kim, Byoung-Jae Park, Je-Kwan Yeon
  • Patent number: 7993487
    Abstract: In the present invention, two coil-shaped probes each detecting the intensity of a magnetic field in a direction around a center axis of a processing space are provided in a process vessel of a plasma processing apparatus. Each of the probes detects an induced electromotive force generated in the coil, and a computer calculates an amount of radio-frequency current from the induced electromotive force, based on a predetermined calculation principle. A difference between the amounts of the radio-frequency current detected by the probes is calculated, and a loss radio-frequency current amount passing out of a plasma area between upper and lower electrodes is calculated, whereby the flow of the radio-frequency current in the plasma is known.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: August 9, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yohei Yamazawa
  • Publication number: 20110177625
    Abstract: Embodiments of the present invention relate to the analysis of the components of one or more gases, for example a gas mixture sampled from a semiconductor manufacturing process such as plasma etching or plasma enhanced chemical vapor deposition (PECVD). Particular embodiments provide sufficient power to a plasma of the sample, to dissociate a large number of the molecules and molecular fragments into individual atoms. With sufficient power (typically a power density of between 3-40 W/cm3) delivered into the plasma, most of the emission peaks result from emission of individual atoms, thereby creating spectra conducive to simplifying the identification of the chemical composition of the gases under investigation. Such accurate identification of components of the gas may allow for the precise determination of the stage of the process being performed, and in particular for detection of process endpoint.
    Type: Application
    Filed: March 30, 2011
    Publication date: July 21, 2011
    Inventors: Joseph R. Monkowski, Barton Lane
  • Publication number: 20110168674
    Abstract: In atmospheric-pressure plasma processing, fluctuation of a recovery rate or a recovery concentration of a fluorine raw material is restrained to secure stability of processing. Exhaust gas led out from an atmospheric-pressure plasma processing part 2 to an exhaust line 30 is separated by a separation membrane 41 of a separation part 4 into collected gas for a recovered line 50 and release gas for a release line 60. The collected gas is utilized as at least a part of processing gas. At the time of the separation, physical quantity (preferably pressure) of at least two gases of the collected gas, the release gas and the exhaust gas related to the separation are regulated according to flow rate of the processing gas so that either one or both of a recovery rate or a recovery concentration of a fluorine raw material are as desired.
    Type: Application
    Filed: September 7, 2009
    Publication date: July 14, 2011
    Applicant: SEKISUI CHEMICAL CO., LTD.
    Inventors: Satoshi Mayumi, Shunsuke Kunugi, Takashi Satoh, Takashi Umeoka
  • Publication number: 20110162797
    Abstract: A method and apparatus for etching photomasks is provided herein. In one embodiment, the apparatus comprises a process chamber having a support pedestal adapted for receiving a photomask. An ion-neutral shield is disposed above the pedestal and a deflector plate assembly is provided above the ion-neutral shield. The deflector plate assembly defines a gas flow direction for process gases towards the ion-neutral shield, while the ion-neutral shield is used to establish a desired distribution of ion and neutral species in a plasma for etching the photomask.
    Type: Application
    Filed: March 10, 2011
    Publication date: July 7, 2011
    Inventors: Ajay Kumar, Madhavi R. Chandrachood, Richard Lewington, Darin Bivens, Amitabh Sabharwal, Sheeba J. Panayil, Alan Hiroshi Ouye
  • Patent number: 7973296
    Abstract: Spiral coils generate very powerful electromagnetic fields by operating with two different but simultaneous resonant behaviors. Quarter-wave resonance is established by adjusting the frequency (and wavelength) of a radiofrequency (RF) voltage source until the length of the spiral conductor is equal to ¼ of the wavelength of the alternating voltage. This generates an electromagnetic standing wave with at least one peak node and at least one null node. Inductive-capacitive (L/C) resonance is established by optimizing the thickness and width of the wire ribbon used to make the spiral coil. When inductance and capacitance are balanced, the current response will synchronize with the voltage input, creating in-phase behavior, minimal total impedance, and maximal power output.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: July 5, 2011
    Assignee: Tetraheed LLC
    Inventor: Richard T. Quick
  • Publication number: 20110151670
    Abstract: A method for etching features of different aspect ratios in a tungsten containing layer is provided. An etch gas is provided containing a tungsten etch component and a deposition component. A plasma is formed from the provided etch gas. A tungsten containing layer patterned with wide and narrow features is etched with the provided plasma.
    Type: Application
    Filed: November 13, 2008
    Publication date: June 23, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Wonchul Lee, Qian Fu, Shenjian Liu, Bryan Pu
  • Publication number: 20110130001
    Abstract: A substrate processing apparatus cleaning method that includes: containing a cleaning gas in a reaction tube without generating a gas flow of the cleaning gas in the reaction tube by supplying the cleaning gas into the reaction tube and by completely stopping exhaustion of the cleaning gas from the reaction tube or by exhausting the cleaning gas at an exhausting rate which substantially does not affect uniform diffusion of the cleaning gas in the reaction tube from at a point of time of a period from a predetermined point of time before the cleaning gas is supplied into the reaction tube to a point of time when several seconds are elapsed after starting of supply of the cleaning gas into the reaction tube; and thereafter exhausting the cleaning gas from the reaction tube.
    Type: Application
    Filed: November 24, 2010
    Publication date: June 2, 2011
    Inventors: Kazuyuki OKUDA, Toru Kagaya, Masanori Sakai
  • Publication number: 20110124144
    Abstract: A substrate processing apparatus includes an evacuatable process chamber configured to receive a substrate carrier having at least one substrate, a plasma generating module, a gas feed, a gas discharge and a vapor etching module provided in the process chamber. A substrate processing method includes introducing a substrate carrier including at least one substrate into an evacuatable process chamber, generating a plasma in a plasma process using a plasma generating module in a gas or a gas mixture, performing a vapor etching of the at least one substrate before, after or alternatingly with the plasma process and performing at least one of a coating, etching, surface modification and cleaning of the substrate.
    Type: Application
    Filed: March 17, 2009
    Publication date: May 26, 2011
    Applicant: ROTH & RAU AG
    Inventors: Hermann Schlemm, Matthias Uhlig
  • Publication number: 20110120648
    Abstract: The present invention provides apparatus for controlling the operation of plasma etching a semiconductor substrate by an alternating etching method, the apparatus comprising: a process chamber (1) in which said substrate (2) is processed, means for generating a plasma (6); at least one first window (7) formed in a first wall (8) of said chamber (1) facing the surface (2a) to be etched of said substrate (2); at least one second window (10) formed in a second wall (11) of said chamber (1) lying in a plane different from said first wall (8); first means (18) coupled to said second window (10) to detect a light signal (17) relating to a selected wavelength emitted by said plasma (6); means (13, 15) for emitting a monochromatic light signal (14) through said first window (7) towards said surface (2a) in a direction (9) substantially perpendicular to said surface (2a) in such a manner that said incident signal (14a) is reflected on said surface (2a); second means (16) for detecting said reflected signal (14b); a
    Type: Application
    Filed: February 2, 2011
    Publication date: May 26, 2011
    Applicant: TEGAL CORPORATION
    Inventors: Michel Puech, Nicolas Launay
  • Publication number: 20110117749
    Abstract: A method for reducing line width roughness (LWR) of a feature in an etch layer below a patterned photoresist mask having mask features is provided. The method includes (a) non-etching plasma pre-etch treatment of the photoresist mask, and (b) etching of a feature in the etch layer through the pre-treated photoresist mask using an etching gas. The non-etching plasma pre-etch treatment includes (a1) providing a treatment gas containing H2 and COS, (a2) forming a plasma from the treatment gas, and (a3) stopping the treatment gas.
    Type: Application
    Filed: November 17, 2009
    Publication date: May 19, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Ben-Li SHEU, Martin SHIM, Jonathan KIM
  • Publication number: 20110114113
    Abstract: There is provided a cleaning method for a substrate processing apparatus capable of improving a removing rate of a deposit without increasing a self-bias voltage. The cleaning method includes supplying, to clean the inside of a processing chamber 102 under preset processing conditions, a processing gas including an O2 gas and an inert gas into the processing chamber at a preset flow rate ratio of the processing gas; and generating plasma of the processing gas by applying a high frequency power between a lower electrode 111 and a upper electrode 120. Here, the preset flow rate ratio of the processing gas is set depending on a self-bias voltage of the lower electrode 111 such that a flow rate ratio of the O2 gas is reduced while a flow rate ratio of the Ar gas is increased as an absolute value of the self-bias voltage decreases.
    Type: Application
    Filed: November 17, 2010
    Publication date: May 19, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu Honda, Takahiro Murakami, Takanori Mimura, Hidetoshi Hanaoka
  • Publication number: 20110108058
    Abstract: Some techniques disclosed herein facilitate cleaning residue from a molecular beam component. For example, in an exemplary method, a molecular beam is provided along a beam path, causing residue build up on the molecular beam component. To reduce the residue, the molecular beam component is exposed to a hydro-fluorocarbon plasma. Exposure to the hydro-fluorocarbon plasma is ended based on whether a first predetermined condition is met, the first predetermined condition indicative of an extent of removal of the residue. Other methods and systems are also disclosed.
    Type: Application
    Filed: November 11, 2009
    Publication date: May 12, 2011
    Applicant: Axcelis Technologies, Inc.
    Inventors: Aseem K. Srivastava, William F. DiVergilio, Glen R. Gilchrist
  • Publication number: 20110108524
    Abstract: An arrangement for performing pressure control within a processing chamber substrate processing is provided. The arrangement includes a peripheral ring configured at least for surrounding a confined chamber volume that is configured for sustaining a plasma for etching the substrate during substrate processing. The peripheral ring includes a plurality of slots that is configured at least for exhausting processed byproduct gas from the confined chamber volume during substrate processing. The arrangement also includes a conductive control ring that is positioned next to the peripheral ring and is configured to include plurality of slots. The pressure control is achieved by moving the conductive control ring relative to the peripheral ring such that a first slot on the peripheral ring and a second slot on the conductive control ring are offset with respect to one another in a range of zero offset to full offset.
    Type: Application
    Filed: August 31, 2010
    Publication date: May 12, 2011
    Inventors: Rajinder Dhindsa, Michael C. Kellogg, Babak Kadkhodayan, Andrew D. Bailey, III
  • Publication number: 20110104903
    Abstract: A manufacturing apparatus for a semiconductor device, comprising: a chamber configured to process a wafer; a wafer stage installed in the chamber and formed with a plurality of holes for supplying gas to a rear surface of the wafer; a gas detection mechanism configured to detect an amount of gas leak from each of the plurality of holes, independently; a wafer position detection mechanism configured to determine a direction and an amount of a deviation of the wafer from a predetermined position on the wafer stage based on the detected amounts of gas leak of a hole and positions of the hole; and a wafer position adjustment mechanism configured to adjust a position of the wafer based on the direction and the amount of the deviation of the wafer from the predetermined position on the wafer stage.
    Type: Application
    Filed: June 8, 2010
    Publication date: May 5, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Akihiro Takase
  • Publication number: 20110100954
    Abstract: In processing a magnetic film composed for example of Fe, Co or Ni formed on a substrate and a nonvolatile metal containing the same in a vacuum reactor using a plasma generating gas for generating plasma and a gas containing C and O, a power applied to an antenna for generating plasma is time-modulated, wherein the feeding of gas containing C and O to the vacuum reactor is synchronized with the time-modulated antenna power so that the supply of gas containing C and O to the vacuum reactor is suppressed when the antenna power is high and the gas containing C and O is fed to the vacuum reactor when the antenna power is low.
    Type: Application
    Filed: February 5, 2010
    Publication date: May 5, 2011
    Inventors: Makoto SATAKE, Kenji Maeda, Kenetsu Yokogawa, Tsutomu Tetsuka, Tatehito Usui, Ryoji Nishio
  • Publication number: 20110100553
    Abstract: An arrangement for performing plasma confinement within a processing chamber during substrate processing is provided. The arrangement includes a first peripheral ring positioned next to a secondary peripheral ring. The first peripheral ring surrounds a confined chamber volume that sustains plasma for etching a substrate. The first peripheral ring includes a first plurality of slots for exhausting processed byproduct gas from the confined chamber volume. The second peripheral ring includes a second plurality of slots that is positioned next to the first plurality of slots such that the second plurality of slots does not overlap the first plurality of slots, thereby preventing a direct line-of-sight from within the confined chamber volume to an outside chamber volume (an area outside of the first peripheral ring). The arrangement also includes a manifold connecting the two rings to provide a route for exhausting the processed byproduct gas from the confined chamber volume.
    Type: Application
    Filed: August 31, 2010
    Publication date: May 5, 2011
    Inventors: Rajinder Dhindsa, Akira Koshishi, Alexei Maraktanov
  • Publication number: 20110104616
    Abstract: A method for forming a photoresist mask may comprise providing a ultra-violet (UV) producing gas to a vacuum chamber having a substrate, ionizing the UV producing gas to produce UV rays to irradiate the substrate, and etching features into the substrate through the photoresist mask.
    Type: Application
    Filed: February 18, 2009
    Publication date: May 5, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Shih-Yuan Cheng, Shenjian Liu, Youn Gi Hong, Qian Fu
  • Publication number: 20110097904
    Abstract: A method for repairing damage to a silicon based low-k dielectric layer with organic compounds, where damage replaces a methyl attached to silicon with a hydroxyl attached to silicon is provided. A repair gas comprising CH4 gas is provided. The repair gas is formed into a plasma, while maintaining a pressure below 50 mTorr. Hydroxyl attached to silicon is replaced with methyl from the plasma formed by the repair gas.
    Type: Application
    Filed: October 22, 2009
    Publication date: April 28, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Stephen M. Sirard, Kenji Takeshita, Andrew D. Bailey, III
  • Publication number: 20110094681
    Abstract: A device for cleaning objects includes, a device for drawing in surrounding air, a device for producing plasma and a device for blowing out a mixture of surrounding air and plasma into the object to be cleaned. The device is used preferably in household applications in the cleaning and sanitization of mattresses, joints and walls.
    Type: Application
    Filed: June 30, 2009
    Publication date: April 28, 2011
    Applicant: Reinhausen Plasma GmbH
    Inventors: Michael Bisges, Thorsten Krüger, Patrick Wichmann, Hans-Jürgen Arning
  • Publication number: 20110073257
    Abstract: An arrangement for performing pressure control in a plasma processing chamber comprising an upper electrode, a lower electrode, a unitized confinement ring arrangement wherein the upper electrode, the lower electrode and the unitized confinement ring arrangement are configured at least for surrounding a confined chamber region to facilitate plasma generation and confinement therein. The arrangement further includes at least one plunger configured for moving the unitized confinement ring arrangement in a vertical direction to adjust at least one of a first gas conductance path and a second gas conductance path to perform the pressure control, wherein the first gas conductance path is formed between the upper electrode and the unitized confinement ring arrangement and the second gas conductance path is formed between the lower electrode and the single unitized ring arrangement.
    Type: Application
    Filed: September 27, 2010
    Publication date: March 31, 2011
    Inventors: Rajinder Dhindsa, Rajaramanan Kalyanaraman, Sathyanarayanan Mani, Gautam Bhattacharyya
  • Publication number: 20110067815
    Abstract: A plasma processing apparatus includes a shower head that is installed within a processing chamber for processing a substrate therein so as to face a mounting table for mounting the substrate thereon and supplies a gas toward the substrate in a shower pattern through a plurality of gas discharge holes provided in a facing surface of the shower head facing the mounting table; a plurality of gas exhaust holes formed through the shower head to be extended from the facing surface of the shower head to an opposite surface from the facing surface; a multiple number of rod-shaped magnet pillars standing upright in a gas exhaust space communicating with the gas exhaust holes on the side of the opposite surface; and a driving unit that varies a distance between the magnet pillars and the gas exhaust holes by moving at least a part of the magnet pillars.
    Type: Application
    Filed: September 23, 2010
    Publication date: March 24, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hachishiro Iizuka, Jun Abe, Yuki Mochizuki
  • Publication number: 20110054661
    Abstract: A direct drive arrangement for controlling pressure volume within a confinement region of a processing chamber of a plasma processing system during substrate processing is provided. The confinement region is a chamber volume surrounded by confinement rings is provided. The arrangement includes plunger assemblies configured for changing the pressure of motor assemblies configured for vertically moving the plunger assemblies, and recording set point position values for the plunger assemblies. The arrangement further includes a set of circuits configured for driving the motor assemblies to move the plunger assemblies to change the pressure volume within the confinement region. The set of circuits is also configured for providing power to the motor assemblies. The set of circuits is further configured for receiving the set point position values from the motor assemblies.
    Type: Application
    Filed: September 1, 2009
    Publication date: March 3, 2011
    Inventors: JOHN W. RASNICK, FRED D. EGLEY
  • Publication number: 20110049099
    Abstract: A method for forming features in a polysilicon layer is provided. A hardmask layer is formed over the polysilicon layer. A photoresist mask is formed over the hardmask layer. The hardmask layer is etched through the photoresist mask to form a patterned hardmask. The patterned hardmask is trimmed by providing a non-carbon containing trim gas comprising oxygen and a fluorine containing compound, forming a plasma from the trim gas, and trimming the hardmask. Features are etched into the polysilicon layer through the hardmask.
    Type: Application
    Filed: November 9, 2010
    Publication date: March 3, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Tom A. Kamp
  • Publication number: 20110053379
    Abstract: A method for etching a dielectric layer is provided. The dielectric layer is disposed over a substrate and below a patterned mask having a line-space pattern. The method includes (a) providing an etchant gas comprising CF4, COS, and an oxygen containing gas, (b) forming a plasma from the etchant gas, and (c) etching the dielectric layer into the line-space pattern through the mask with the plasma from the etchant gas. The gas flow rate of CF4 may have a ratio greater than 50% of a total gas flow rate of all reactive gas components. The gas flow rate of COS may be between 1% and 50%. The method reduces bowing in etching of the dielectric layer by adding COS to the etchant gas.
    Type: Application
    Filed: September 16, 2008
    Publication date: March 3, 2011
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Kyeong-Koo Chi, Jonathan Kim
  • Patent number: 7896967
    Abstract: A gas supply system for supplying a gas into a processing chamber for processing a substrate to be processed includes: a processing gas supply unit; a processing gas supply line; a first and a second branch line; a branch flow control unit; an additional gas supply unit; an additional gas supply line; and a control unit. The control unit performs, before processing the substrate to be processed, a processing gas supply control and an additional gas supply control by using the processing gas supply unit and the additional gas supply unit, respectively, wherein the additional gas supply control includes a control that supplies the additional gas at an initial flow rate greater than a set flow rate and then at the set flow rate after a lapse of a period of time.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: March 1, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shinichiro Hayasaka, Ken Horiuchi, Fumiko Yagi, Takeshi Yokouchi
  • Publication number: 20110031214
    Abstract: A method and apparatus for vacuum processing of a workpiece, the apparatus including a flow equalizer disposed in a vacuum processing chamber between a workpiece support pedestal and a pump port located in a wall of the vacuum processing chamber. In an embodiment, the flow equalizer has a first annular surface concentric about the workpiece support pedestal to provide conductance symmetry about the workpiece support even when the pump port is asymmetrically positioned within the vacuum processing chamber. In an embodiment, the flow equalizer has a second annular surface facing a lower surface of the workpiece support pedestal to restrict conductance as the flow equalizer is moved is response to a chamber pressure control signal. In an embodiment, the apparatus for vacuum processing of a workpiece includes tandem vacuum processing chambers sharing a vacuum pump with each tandem chamber including a flow equalizer to reduce cross-talk between the tandem chambers.
    Type: Application
    Filed: August 6, 2009
    Publication date: February 10, 2011
    Inventors: Jisoo Kim, Thorsten B. Lill
  • Patent number: 7883581
    Abstract: Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device. The substrate processing apparatus includes a reaction vessel configured to process a substrate, a heater configured to heat an inside of the reaction vessel, a gas supply line configured to supply gas into the reaction vessel, a first valve installed at the gas supply line, a flow rate controller installed at the gas supply line, a main exhaust line configured to exhaust the inside of the reaction vessel, a second valve installed at the main exhaust line, a slow exhaust line installed at the main exhaust line, a third valve installed at the slow exhaust line, a throttle part installed at the slow exhaust line, a vacuum pump installed at the main exhaust line, and a controller configured to control the valves and the flow rate controller.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: February 8, 2011
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Naoharu Nakaiso, Kiyohiko Maeda, Masayuki Yamada
  • Patent number: 7862736
    Abstract: Method of cleaning a plasma etching apparatus capable of suppressing variation in line width among wafers in a single lot, and improving throughput in the cleaning process, includes steps of supplying a cleaning gas into a chamber of a plasma etching apparatus; igniting a plasma of the cleaning gas in the chamber; and allowing plasma cleaning to proceed in the chamber, by bringing the cleaning gas in plasma form into contact with a deposit adhered on the inner wall of the chamber so as to etch off the deposit, wherein in the step of plasma cleaning in the chamber, intensity of plasma emission ascribable to the deposit adhered on the inner wall of the chamber is detected in a time-dependent manner, and the plasma cleaning in the chamber is terminated based on changes in the intensity of the plasma emission.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: January 4, 2011
    Assignee: NEC Electronics Corporation
    Inventor: Tomoo Nakayama
  • Publication number: 20100326957
    Abstract: An electrostatic adsorption layer, an electrode layer, and an insulating layer are provided in a lower portion of a focus ring disposed in an outer periphery of a substrate stage. A high frequency bias is applied to the focus ring by applying a high frequency electric power to the electrode layer. Further, the focus ring is electrostatically chucked to the electrostatic chucking layer and a heat transfer gas is provided between the focus ring and the electrostatic adsorption layer. Thus, the focus ring can be cooled and the temperature of the focus ring is controlled to a predetermined value. With this structure, an etching characteristic at a wafer edge portion can be maintained favorably for a long time. Also, a yield rate at the edge portion can be favorably maintained for a long time, a wet period can be prolonged, and the device operation rate can be improved.
    Type: Application
    Filed: August 10, 2009
    Publication date: December 30, 2010
    Inventors: Kenji Maeda, Kenetsu Yokogawa, Tomoyuki Tamura, Kazuyuki Hirozane, Takamasa Ichino
  • Publication number: 20100319851
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck with a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck and a memory storing a schedule of changes in RF power or wafer temperature.
    Type: Application
    Filed: August 12, 2010
    Publication date: December 23, 2010
    Inventors: Douglas A. Buchberger, JR., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Publication number: 20100323525
    Abstract: A method for etching a line pattern in an etch layer disposed below an antireflective coating (ARC) layer below a patterned mask is provided. The method includes opening the ARC layer, in which an ARC opening gas comprising CF3I, a fluorocarbon (including hydrofluorocarbon) containing gas, and an oxygen containing gas are provided, a plasma is formed from the ARC opening gas to open the ARC layer, and providing the ARC opening gas is stopped. Line pattern features are etched into the etch layer through the opened ARC layer.
    Type: Application
    Filed: December 9, 2008
    Publication date: December 23, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Kyeong-Koo Chi, Jonathan Kim
  • Publication number: 20100294431
    Abstract: The invention relates to a piece of equipment for producing semiconductors, comprising a process chamber (2), a substrate holder (5) for holding a substrate (6) for processing within said chamber (2) and a pumping device (4), comprising a vacuum pump (7) in which a flow of gas for pumping may flow between a gas inlet (9) and a gas outlet (10) of which said inlet (9) is connected to the process chamber (2), the substrate holder (5) and the vacuum pump (7) being in the same axis (12), the substrate holder (5) being arranged upstream of said inlet (9) of said vacuum pump (7) in the flow of gas for pumping, characterised in that the pumping device (4) comprises a gas pressure regulation means (8) at the outlet (10) of the vacuum pump (7), for controlling the pressure of the gas at the inlet (9) of the vacuum pump (7) and that the substrate holder (5) comprises at least three support branches (21) connected to a support (20) on the substrate holder (5) in order to fix the support (20) to the process chamber (2) an
    Type: Application
    Filed: November 21, 2008
    Publication date: November 25, 2010
    Inventor: Philippe Maquin
  • Publication number: 20100285671
    Abstract: A method for forming etched features in a low-k dielectric layer disposed below the photoresist mask in a plasma processing chamber is provided. Features are etched into the low-k dielectric layer through the photoresist mask. The photoresist mask is stripped, wherein the stripping comprising at least one cycle, wherein each cycle comprises a fluorocarbon stripping phase, comprising flowing a fluorocarbon stripping gas into the plasma processing chamber, forming a plasma from the fluorocarbon stripping gas, and stopping the flow of the fluorocarbon stripping gas into the plasma processing chamber and a reduced fluorocarbon stripping phase, comprising flowing a reduced fluorocarbon stripping gas that has a lower fluorocarbon flow rate than the fluorocarbon stripping gas into the plasma processing chamber, forming the plasma from the reduced fluorocarbon stripping gas, and stopping the flow of the reduced fluorocarbon stripping gas.
    Type: Application
    Filed: May 8, 2009
    Publication date: November 11, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Bing Ji, Andrew D. Bailey, III, Maryam Moravej, Stephen M. Sirard
  • Patent number: 7815738
    Abstract: The present invention provides, in one embodiment, a process for cleaning a deposition chamber (100). The process includes a step (100) of forming a reactive plasma cleaning zone by dissociating a gaseous fluorocompound introduced into a deposition chamber having an interior surface and in a presence of a plasma. The process (100) further includes a step (120) of ramping a flow rate of said gaseous fluorocompound to move the reactive plasma cleaning zone throughout the deposition chamber, thereby preventing a build-up of localized metal compound deposits on the interior surface. Other embodiments advantageously incorporate the process (100) into a system (200) for cleaning a deposition chamber (205) and a method of manufacturing semiconductor devices (300).
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: October 19, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Ignacio Blanco, Jin Zhao, Nathan Kruse
  • Publication number: 20100240218
    Abstract: The etching method includes etching the silicon oxide film by supplying a halogen-containing gas and a basic gas to the substrate so that the silicon oxide film is chemically reacted with the halogen-containing gas and the basic gas to generate a condensation layer; etching silicon by supplying a silicon etching gas, which includes at least one selected from the group consisting of an F2 gas, an XeF2 gas, and a ClF3 gas, to the substrate; and after the etching of the silicon oxide film and the etching of the silicon, heating and removing the condensation layer from the substrate.
    Type: Application
    Filed: March 19, 2010
    Publication date: September 23, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hajime UGAJIN
  • Patent number: 7794563
    Abstract: An etching depth measuring device for measuring the etching depth of an object to be processed, when etching the object to be processed by using active species present in a plasma, the etching depth measuring device comprising: a chamber in which is formed an introduction port for introducing a part of the active species; a member to be processed which is housed in the chamber and etched by the part of the active species; and a mass detecting element which receives a substance generated from the member to be processed and detects the mass of the received substance.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: September 14, 2010
    Assignee: TDK Corporation
    Inventors: Naoki Kubota, Akihiro Horita
  • Publication number: 20100224587
    Abstract: Provided are a plasma etching method, a plasma etching apparatus and a computer-readable storage medium capable of plasma-etching a silicon-containing antireflection coating film (Si-ARC) with a high etching rate and a high selectivity while suppressing damage (roughness) of an ArF photoresist. In the plasma etching method, a Si-containing antireflection film 102 located under an ArF photoresist 103 formed on a substrate is etched by plasma of a processing gas while using the ArF photoresist as a mask. A gaseous mixture containing a CF3I gas, an O2 gas, and a CF-based gas and/or a CHF-based gas is used as the processing gas, and a DC voltage is applied to the upper electrode.
    Type: Application
    Filed: March 3, 2010
    Publication date: September 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Takahito Mukawa