With Etchant Gas Supply Or Exhaust Structure Located Outside Of Etching Chamber (e.g., Supply Tank, Pipe Network, Exhaust Pump, Particle Filter) Patents (Class 156/345.29)
  • Publication number: 20100200016
    Abstract: In a method is provided for removing a material from a substrate, a plasma is generated at atmospheric pressure. The plasma includes an energetic species reactive with one or more components of the material. The plasma is flowed from an outlet as a plasma plume that includes periodic regions of high plasma density and low plasma density. The material is exposed to the plasma plume. At least one component of the material reacts with the energetic species, and at least one other component of the material is physically impacted and moved by one or more of the regions of high plasma density.
    Type: Application
    Filed: February 8, 2010
    Publication date: August 12, 2010
    Inventor: Peter Joseph Yancey
  • Patent number: 7767023
    Abstract: A device for containing the catastrophic failure of a vacuum pumping system is described. The vacuum pumping system includes a turbo-molecular pump (TMP) configured to be coupled to a vacuum processing system at an inlet end. The TMP includes a longitudinal axis substantially parallel to an axis of rotation of the TMP and a first lateral axis substantially perpendicular to the longitudinal axis. The vacuum system also includes a containment device configured to mitigate the catastrophic failure of the TMP by impeding only one translational degree of freedom (DOF) and only one rotational DOF of the movement of the TMP. Impeding only one translational DOF includes impeding translational motion of the TMP in a first lateral direction substantially parallel to the first lateral axis. Impeding only one rotational DOF includes impeding rotation of the TMP about the longitudinal axis.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: August 3, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Jeffrey Burgess
  • Patent number: 7740705
    Abstract: A method and system for vapor deposition on a substrate that disposes a substrate in a process space of a processing system that is isolated from a transfer space of the processing system, processes the substrate at either of a first position or a second position in the process space while maintaining isolation from the transfer space, and deposits a material on said substrate at either the first position or the second position. Furthermore, the system includes a high conductance exhaust apparatus configured to be coupled to the process space, whereby particle contamination of the substrate processed in the deposition system is minimized. The exhaust apparatus comprises a pumping system located above the substrate and an evacuation duct, wherein the evacuation duct has an inlet located below the substrate plane.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: June 22, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yicheng Li
  • Patent number: 7726953
    Abstract: A pump ring. The pump ring is suitable for a reaction chamber and capable for extracting gas from the reaction chamber in a uniform gas flow rate. The pump ring comprises a ring body and a top ring part located on the ring body. The top ring part is apart from an inner wall of the reaction chamber with a fixed distance. Therefore, a gas-extraction path composed of the reaction chamber, the ring body and the top ring part is unobstructed. Hence, the turbulence flow of the extracted gas can be efficiently suppressed and the problems of the accumulation of the impurities and reaction chamber contamination can be solved.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: June 1, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Jian-Shing Lai, Ying-Yi Chang
  • Publication number: 20100122773
    Abstract: Provided is an apparatus for processing a substrate. The apparatus includes a chamber, a process unit, and an exhaust member. The chamber has an inner space. The process unit is disposed at the inner space of the chamber and is movable outward from the chamber through a side of the chamber. The process unit includes an exhaust line. The exhaust member is disposed at the chamber. The exhaust member is connected to the exhaust line, and the exhaust member includes a movable exhaust port configured to be moved according to a movement of the process unit.
    Type: Application
    Filed: November 16, 2009
    Publication date: May 20, 2010
    Applicant: SEMES CO., LTD.
    Inventor: Hyoung-Rae NOH
  • Patent number: 7718030
    Abstract: A plasma processing system includes a processing chamber, a substrate holder configured to hold a substrate for plasma processing, and a gas injection assembly. The gas injection assembly includes a first evacuation port located substantially in a center of the gas injection assembly and configured to evacuate gases from a central region of the substrate, and a gas injection system configured to inject gases in the process chamber. The plasma processing system also includes a second evacuation port configured to evacuate gases from a peripheral region surrounding the central region of the substrate.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: May 18, 2010
    Assignees: Tokyo Electron Limited, International Business Machines Corporation (“IBM”)
    Inventors: Merritt Funk, David V. Horak, Eric J. Strang, Lee Chen
  • Patent number: 7708859
    Abstract: A gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus is provided. The gas distribution system can include a gas supply section, a flow control section and a switching section. The gas supply section provides first and second gases, typically gas mixtures, to the flow control section, which controls the flows of the first and second gases to the chamber. The chamber can include multiple zones, and the flow control section can supply the first and second gases to the multiple zones at desired flow ratios of the gases. The gas distribution system can continuously supply the first and second gases to the switching section and the switching section is operable to switch the flows of the first and second gases, such that one of the first and second process gases is supplied to the chamber while the other of the first and second gases is supplied to a by-pass line, and then to switch the gas flows.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: May 4, 2010
    Assignee: Lam Research Corporation
    Inventors: Zhisong Huang, Jose Tong Sam, Eric H. Lenz, Rajinder Dhindsa, Reza Sadjadi
  • Publication number: 20100101727
    Abstract: A radio frequency (RF) coaxial resonator feeding a saltshaker-like gas distributing electrode assembly forms a capacitively coupled plasma source. This apparatus can generate plasma of high density over a wide pressure range and large process window. The system may be used as a remote radical-rich plasma source for materials surface processing.
    Type: Application
    Filed: October 27, 2009
    Publication date: April 29, 2010
    Inventor: Helin Ji
  • Patent number: 7693597
    Abstract: A substrate processing method for removing a resist film from a substrate having the resist film formed thereon comprises maintaining the inner region of the chamber at a prescribed temperature by putting a substrate in a chamber, denaturing the resist film by supplying ozone and a water vapor in such a manner that ozone is supplied into the chamber while a water vapor is supplied into the chamber at a prescribed flow rate, the amount of ozone relative to the amount of the water vapor being adjusted such that the dew formation within the chamber is prevented, and processing the substrate with a prescribed liquid material so as to remove the denatured resist film from the substrate.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: April 6, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Mitsunori Nakamori, Tadashi Iino, Noritaka Uchida, Takehiko Orii
  • Patent number: 7691203
    Abstract: A film forming apparatus is provided that can prevent source gases from reacting together before reaching the substrate being processed in the apparatus, minimize the influence of the radiation heat from the substrate, and make the gas behavior in the reaction chamber better for crystal film formation. The apparatus forms a film on a surface of a heated substrate 5 by causing a first source gas and a second source gas to react together. The apparatus has a processing chamber 1, in which the substrate 5 is placed. The processing chamber 1 is divided into a heating chamber 1a and a reaction chamber 1b by at least the substrate 5 so that the substrate surface can be exposed to the source gases in the reaction chamber 1b. The apparatus further has an exhaust duct 7, through which the exhaust gas can be discharged. The exhaust duct 7 faces the exposed substrate surface and connects with the reaction chamber 1b.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: April 6, 2010
    Assignee: Air Water Inc.
    Inventors: Toru Inagaki, Takahiro Shirahata, Takashi Yokoyama, Michihiro Sano, Naochika Horio
  • Patent number: 7674394
    Abstract: A method of processing a workpiece in the chamber of a plasma reactor includes capacitively coupling plasma source power using a ceiling gas distribution plate as the electrode while inductively coupling plasma source power through the ceiling gas distribution plate, and flowing process gas through the gas distribution plate from a gas input to plural gas injection orifices, distributing the gas flow within the gas distribution plate through a succession of arcuate paths joined at respective junctions, dividing gas flow at each junction from a first respective one of said gas flow paths into a respective pair of said gas flow paths in opposite gas flow directions, and restricting the arcuate length of each of the arcuate paths to less than half-circles.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: March 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Alexander Paterson, Valentin N. Todorov, Theodoros Panagopoulos, Brian K. Hatcher, Dan Katz, Edward P. Hammond, IV, John P. Holland
  • Patent number: 7674336
    Abstract: A thermal processing apparatus according to the present invention comprises a processing container having an opening part at a lower end thereof. The processing container can contain an object to be processed therein. The opening part can be opened and closed by a lid. A flange is provided at a periphery of the opening part. A gas-introducing part for introducing a gas into the processing container is provided in the flange. The object to be processed contained in the processing container is heated by a heating mechanism.
    Type: Grant
    Filed: October 18, 2004
    Date of Patent: March 9, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Manabu Honma, Yukio Ohizumi, Keisuke Nagatsuka
  • Patent number: 7670432
    Abstract: A method, computer readable medium, and system for treating a substrate in a process space of a vacuum processing system is described. A vacuum pump in fluid communication with the vacuum processing system and configured to evacuate the process space, while a process material supply system is pneumatically coupled to the vacuum processing system and configured to supply a process gas to the process space. Additionally, the vacuum pump is pneumatically coupled to the process supply system and configured to, at times, evacuate the process gas supply system.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: March 2, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yicheng Li
  • Publication number: 20100025369
    Abstract: To monitor the thickness of a focus ring consumed during wafer processing. A plasma processing apparatus includes a vacuum chamber 1, workpiece mounting means 5, high frequency electric power introducing means 4 and radio-frequency bias electric power introducing means 7 and processes a surface of a workpiece 6 using a plasma that is converted from a gas introduced into the vacuum chamber 1 by the action of a high frequency electric power introduced by the high frequency electric power introducing means 4. The plasma processing apparatus further includes an annular member 11 surrounding the workpiece 6 mounted on the workpiece mounting means 5, and a pair of tubes having an aspect ratio of 3 or higher and disposed on a side wall of the vacuum chamber 1 to face each other. Each tube is vacuum-sealed at a tip end thereof with a glass material.
    Type: Application
    Filed: September 2, 2008
    Publication date: February 4, 2010
    Inventors: Nobuyuki NEGISHI, Masaru Izawa, Kenji Maeda
  • Publication number: 20100025370
    Abstract: A reactive gas distributor for a reactive gas treatment system is provided, comprising a housing, a reactive gas inlet provided at one side of the housing and fluidly connectable to a remote plasma source, and a plurality of reactive gas outlets at another side of the housing and arranged in a pattern.
    Type: Application
    Filed: August 4, 2008
    Publication date: February 4, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Manuel Dieguez-Campo, Andreas Lopp, Reiner Gertmann
  • Publication number: 20100012273
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Application
    Filed: October 1, 2009
    Publication date: January 21, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Ramprakash Sankarakrishnan, Dale DuBois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Patent number: 7648610
    Abstract: The present invention provides a gas process apparatus that realizes uniform exhaust without depending on process conditions, a gas process chamber that constitutes the gas process apparatus, a baffle plate mounted on the gas process chamber, a method of producing the baffle plate, and an apparatus for producing the baffle plate. The baffle plate of the present invention serves as a partition between a process space in which a chemical process is carried out with a supplied gas, and a duct that is adjacent to the process space and functions to discharge exhaust gas generated as a result of the chemical process. In accordance with the difference between the pressures on both sides of the baffle plate, which difference varies depending on the location on the baffle plate, the baffle holes are disposed on a plurality of locations on the baffle plate.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: January 19, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Taro Komiya, Hatsuo Osada, Shigetoshi Hosaka, Tomihiro Yonenaga, Masayuki Tomoyasu
  • Publication number: 20100000682
    Abstract: In a processing system adapted for processing an object to be processed, by supplying a processing fluid into a processing vessel, the supply of the processing fluid into the processing vessel is significantly stabilized. This processing system includes the processing vessel configured to contain the object to be processed, a processing fluid generating unit configured to generate the processing fluid, a processing-side fluid passage configured for supplying the processing fluid generated in the processing fluid generating unit into the processing vessel, and a discharge fluid passage configured for discharging the processing fluid from the processing vessel.
    Type: Application
    Filed: January 28, 2008
    Publication date: January 7, 2010
    Inventor: Yoshifumi Amano
  • Patent number: 7628931
    Abstract: In order to facilitate control of a circulating gas, in a processing apparatus 100 having a showerhead 200 for supplying a processing gas into a processing chamber via a plurality of gas supply holes, a turbo pump 120 for evacuating the processing gas from the processing chamber 110 and a circulating gas piping 150 for returning at least a portion (circulating gas Q2) of the exhaust gas evacuated from the processing chamber by the turbo pump to the showerhead, the showerhead is provided with a primary gas supply system that supplies a primary gas Q1 supplied from a gas source 140 into the processing chamber via a plurality of primary gas outlet holes h1 and a circulating gas supply system that supplies the circulating gas into the processing chamber via a plurality of circulating gas supply holes h2, with the primary gas supply system and the circulating gas supply system constituted as systems independent of each other.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: December 8, 2009
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Masashi Saito, Yusuke Hirayama, Itsuko Sakai, Tokuhisa Ohiwa
  • Patent number: 7628863
    Abstract: A method and apparatus for a chamber for chemical vapor deposition on a substrate in a processing region comprising a gas box having a heated lid comprising a gas inlet passage, and a face plate connected to the heated lid positioned to conduct gas from the heated gas box to a substrate processing region. Also, a method for providing heat to a chemical vapor deposition chamber comprising supplying heat to a lid of a gas box, and heating a face plate connected to the gas box by heat transfer from the lid.
    Type: Grant
    Filed: August 3, 2004
    Date of Patent: December 8, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Soovo Sen, Inna Shmurun, Thomas Nowak, Nancy Fung, Brian Hopper, Andrzej Kaszuba, Eller Juco
  • Publication number: 20090297863
    Abstract: The invention concerns a method for producing a coating on a support, in particular a glass support, wherein a thin-film metal oxide is deposited on the support, said thin film being subjected to an etching process to roughen its surface, a second coating capable of adhering to the first metal oxide film is then applied on the roughened surface. The invention is characterized in that it consists in depositing a first doped metal oxide or metal oxynitride doped with at least a second metal oxide or metal oxynitride, the second metal oxide or metal oxynitride being distributed in the deposited film. During the etching process, a plasma-activated gas is used which removes at least a second metal oxide or metal oxynitride less than the first metal oxide or metal oxynitride so as to form, after the etching process, on the surface raised irregularities consisting of at least a second metal oxide or metal oxynitride.
    Type: Application
    Filed: May 26, 2005
    Publication date: December 3, 2009
    Applicant: SAINT-GOBAIN GLASS FRANCE
    Inventors: Alfred Horichter, Herve Montigaud, Jean-Christophe Giron
  • Publication number: 20090288684
    Abstract: The invention provides a vacuum processing apparatus having a function for removing particles on the surface of the sample stage in order to improve the yield of the sample being processed.
    Type: Application
    Filed: August 28, 2008
    Publication date: November 26, 2009
    Inventors: Ken Kitaoka, Masamichi Sakaguchi, Kazue Takahasi
  • Publication number: 20090277586
    Abstract: The present invention provides a gas introducing apparatus, which can perform start and stop of supplying a gas at respective gas injection holes, rapidly and simultaneously. A gas introducing apparatus 24 installed in a gas-dischargeable processing vessel 22 includes a gas introducing head 110 configured to face the processing vessel. Gas supply passages 12 each configured to flow a supply gas through the gas introducing vessel, exhaust passages 114, control gas passages 116 each configured to flow a control gas, and a plurality of gas injection holes 28 provided in a face of the gas introducing head facing the processing vessel, are provided in the gas introducing head 110. Pure fluid logic elements 118 are provided in the gas introducing head 110, each being in communication with the gas supply passage, exhaust passage and control gas passage, and corresponding to each gas injection hole.
    Type: Application
    Filed: May 28, 2007
    Publication date: November 12, 2009
    Inventor: Yoshiyuki Hanada
  • Publication number: 20090277874
    Abstract: A method and an apparatus for removing polymer from a substrate are provided. In one embodiment, an apparatus utilized to remove polymer from a substrate includes a processing chamber having a chamber wall and a chamber lid defining a process volume, a substrate support assembly disposed in the processing chamber, a remote plasma source coupled to the processing chamber through an outlet port formed through the processing chamber, the outlet port having an opening pointing toward an periphery region of a substrate disposed on the substrate support assembly, and a substrate supporting surface of the substrate support assembly that substantially electrically floats the substrate disposed thereon relative to the substrate support assembly.
    Type: Application
    Filed: April 30, 2009
    Publication date: November 12, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Ying Rui, Imad Yousif, Daniel Hoffman
  • Patent number: 7612348
    Abstract: A voltage-isolating passageway for providing high voltage isolation between a component maintained at high DC voltage and a component maintained at a substantially lower voltage is described. The voltage-isolating passageway incorporates a transverse magnetic field across its passageway, which reduces the potential energy of charged particles (e.g., electrons) passing through the passageway. The voltage-isolating passageway includes a passageway and at least two magnets. The passageway has two openings and the two magnets are positioned along opposite and exterior surfaces of the passageway wherein the first and second magnets impose a magnetic field in a transverse direction with respect to a lengthwise axis of the passageway. In one embodiment, each of the passageways have small diameters and transfer gases at small flow rates.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: November 3, 2009
    Assignee: KLA-Technologies Corporation
    Inventors: Alexander Jozef Gubbens, Niles Kenneth MacDonald, Mehran Nasser-Ghodsi, Rudy Flores Garcia, Doug Keith Masnaghetti
  • Patent number: 7601225
    Abstract: An apparatus and method improves heating of a solid precursor inside a sublimation vessel. In one embodiment, inert, thermally conductive elements are interspersed among units of solid precursor. For example the thermally conductive elements can comprise a powder, beads, rods, fibers, etc. In one arrangement, microwave energy can directly heat the thermally conductive elements.
    Type: Grant
    Filed: June 16, 2003
    Date of Patent: October 13, 2009
    Assignee: ASM International N.V.
    Inventors: Marko Tuominen, Eric Shero, Mohith Verghese
  • Patent number: 7591907
    Abstract: In one embodiment, an apparatus for performing an atomic layer deposition (ALD) process is provided which includes a chamber body containing a substrate support, a lid assembly attached to the chamber body, a remote plasma system (RPS) in fluid communication with the reaction zone, a centralized expanding conduit extending through the lid assembly and expanding radially outwards, a first gas delivery sub-assembly configured to deliver a first process gas, and a second gas delivery sub-assembly configured to deliver a second process gas into the centralized expanding conduit. The first gas delivery sub-assembly contains an annular channel encircling and in fluid communication with the centralized expanding conduit, wherein the annular channel is adapted to deliver the first process gas through a plurality of passageways and nozzles and into the centralized expanding conduit. The second gas delivery sub-assembly contains a gas inlet in fluid communication to the centralized expanding conduit.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: September 22, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Vincent W. Ku, Mei Chang, Dien-Yeh Wu, Hua Chung
  • Publication number: 20090188624
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing a substrate may include a process chamber having an inner volume and an exhaust system coupled thereto, wherein the exhaust system includes a plurality of first conduits, each first conduit having an inlet adapted to receive exhaust from the inner volume of the process chamber. A pumping plenum is coupled to each of the plurality of first conduits. The pumping plenum has a pumping port adapted to pump the exhaust from the chamber. The conductance between each inlet of the plurality of first conduits and the pumping port is substantially equivalent.
    Type: Application
    Filed: January 25, 2008
    Publication date: July 30, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: KALLOL BERA, James D. Carducci, Ajit Balakrishna, Shahid Rauf, Kenneth S. Collins, Andrew Nguyen, Hamid Noorbakhsh
  • Publication number: 20090183683
    Abstract: In a plasma processing apparatus provided with control means, gas supply means includes a first gas supply path for supplying a vent gas into a processing chamber by way of a shower plate and a second gas supply path for supplying a vent gas into the processing chamber without via the shower plate, and the control means is capable of adjusting a flow rate of the vent gas of at least one of the first and second gas supply paths in such a manner that a pressure on a back side of the shower plate becomes a pressure that is a positive pressure relative to a pressure in the processing chamber and less than a withstand pressure of the shower plate.
    Type: Application
    Filed: February 22, 2008
    Publication date: July 23, 2009
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa
  • Publication number: 20090166622
    Abstract: When a flow rate of a diluent gas is larger than a flow rate of a reaction gas, a reaction gas introducing tube (113) is connected to a part of a diluent gas introducing tube (111) which connects a plasma processing reaction chamber (101) to a diluent gas feeding unit (112). Thus, the reaction gas can be fully mixed with the diluent gas in the diluent gas introducing tube (111), and a gas feed piping can be of a simpler configuration.
    Type: Application
    Filed: December 22, 2006
    Publication date: July 2, 2009
    Inventors: Katsushi Kishimoto, Yusuke Fukuoka
  • Publication number: 20090170335
    Abstract: A plasma etching method for performing an etching process for forming on an insulating film formed on a substrate a hole shape having a ratio of depth to opening width of more than 20. The hole shape is formed on the insulating film by converting processing gas containing at least C4F6 gas and C6F6 gas into a plasma. A flow rate ratio of the C4F6 gas to the C6F6 gas (C4F6 gas flow rate/C6F6 gas flow rate) ranges from 2 to 11.
    Type: Application
    Filed: December 22, 2008
    Publication date: July 2, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Satoshi TANAKA, Yoshinobu Ooya, Fumio Yamazaki
  • Patent number: 7552521
    Abstract: An apparatus related to plasma chambers used for processing semiconductor substrates and specifically to improvements in pumping baffle plates used in plasma sources. An apparatus and method for making a baffle plate assembly formed from a modified baffle plate blank wherein a variety of pumping features are formed in the baffle plate blank and opened in a planar material removal operation.
    Type: Grant
    Filed: December 8, 2004
    Date of Patent: June 30, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Steven T. Fink
  • Patent number: 7537673
    Abstract: Disclosed herein is a plasma processing apparatus, which generates plasma within a vacuum chamber to process semiconductor substrates using the plasma. The apparatus comprises a substrate mounting table, an outer lifting bar, and a baffle. The outer lifting bar comprises a driving shaft, and a substrate supporting member coupled perpendicular to an upper end of the driving shaft. The baffle comprises a baffle plate coupled to the upper end of the driving shaft, and a shielding portion coupled to a lower surface of the baffle plate. The substrate supporting member is a foldable substrate supporting member. The baffle and the substrate supporting member are driven up and down at the same time by the driving shaft. As a result, it is possible to protect the substrate supporting member from plasma, and to prevent interference between the baffle and the outer lifting bar during operation of the plasma processing apparatus.
    Type: Grant
    Filed: September 6, 2005
    Date of Patent: May 26, 2009
    Assignee: Advanced Display Processing Engineering Co., Ltd.
    Inventors: Young Jong Lee, Jun Young Choi, Hyun Hwan Ahn, Chan-Ho Kang, Hyun-Woo Baek, Young-Joo Hwang
  • Publication number: 20090126871
    Abstract: A plasma processing apparatus includes a vacuum evacuable processing chamber; a first electrode for mounting thereon a substrate to be processed in the processing chamber; a second electrode facing the first electrode in parallel in the processing chamber; and a processing gas supply unit for supplying a processing gas to a processing space between the first and the second electrode. The apparatus further includes a first high frequency power supply for applying a first high frequency power for generating a plasma of the processing gas to at least one of the first and the second electrode; and a cavity plasma generation unit, having a cavity formed in one of the first and the second electrode, for generating a plasma of a discharging gas in the cavity.
    Type: Application
    Filed: November 14, 2008
    Publication date: May 21, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yohei YAMAZAWA
  • Patent number: 7531061
    Abstract: A method and system for controlling the temperatures of at least one gas in a plasma processing environment prior to the at least one gas entering a process chamber. This temperature control may vary at different spatial regions of a showerhead assembly (either an individual gas species or mixed gas species). According to one embodiment, an in-line heat exchanger alters (i.e., increases or decreases) the temperature of passing gas species (either high- or low-density) prior to entering a process chamber, temperature change of the gases is measured by determining a temperature of the gas both upon entrance into the in-line heat exchanger assembly and upon exit.
    Type: Grant
    Filed: September 14, 2004
    Date of Patent: May 12, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Maolin Long
  • Patent number: 7522974
    Abstract: Method and systems are provided for monitoring and controlling one or more abatement systems. One or more abatement systems may be represented on a display alone with one or more effluent flows from processing tools. A selected effluent flow configuration is received. An interface manifold is controlled to implement the selected effluent flow configuration. Numerous other aspects are provided.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Youssef A. Loldj, Shaun W. Crawford
  • Publication number: 20090095714
    Abstract: Method and system for treating a substrate with plasma under low pressure conditions is described. A plasma processing system comprises a plasma generation chamber having a first plasma region and a process chamber having a second plasma region disposed downstream of the first plasma region. A plasma generation system is coupled to the plasma generation chamber and configured to create a first plasma in the first plasma region, while a plasma heating system is coupled to the process chamber and configured to heat electrons supplied to the second plasma region from the first plasma region to form a second plasma. A substrate holder coupled to the process chamber is configured to support a substrate and expose the substrate to the second plasma.
    Type: Application
    Filed: October 12, 2007
    Publication date: April 16, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Lee CHEN, Merritt FUNK
  • Patent number: 7517429
    Abstract: The present invention relates to a plasma treatment apparatus, and more particularly, to a plasma treatment apparatus capable of supplying pressure gas while preventing flying of particles accumulated on the bottom of a chamber. The plasma treatment apparatus of the present invention comprises a chamber; an intake/exhaust portion provided to the bottom of the chamber to supply the chamber with pressure gas, the intake/exhaust portion being configured such that an inner diameter thereof is increased upwardly; and a pressure gas source connected to the intake/exhaust portion to supply the pressure gas thereto. The apparatus may further comprise a vacuum source connected to the intake/exhaust portion to exhaust the chamber.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: April 14, 2009
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventor: Chun-Sik Kim
  • Publication number: 20090093124
    Abstract: To provide a method of manufacturing a semiconductor device, which includes a process capable of excellently removing a photoresist in which a high dose of ion is implanted. A photoresist with a high dose of ion implanted therein is removed from a wafer through a first removing process for carrying out a plasma process of at least a reaction gas including oxygen molecules and hydrogen molecules to remove an organic component in the photoresist from the wafer and a second removing process for carrying out a plasma process of at least a reaction gas including hydrogen molecules following the first removing process to remove a dopant deposit from the wafer.
    Type: Application
    Filed: September 3, 2008
    Publication date: April 9, 2009
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Shin Hiyama
  • Publication number: 20090078677
    Abstract: An integrated steerability array arrangement for managing plasma uniformity within a plasma processing environment to facilitate processing of a substrate is provided. The arrangement includes an array of electrical elements. The arrangement also includes an array of gas injectors, wherein the array of electrical elements and the array of gas injectors are arranged to create a plurality of plasma regions, each plasma region of the plurality of plasma regions being substantially similar. The arrangement further includes an array of pumps, wherein individual one of the array of pumps being interspersed among the array of electrical elements and the array of gas injectors. The array of pumps is configured to facilitate local removal of gas exhaust to maintain a uniform plasma region within the plasma processing environment.
    Type: Application
    Filed: June 24, 2008
    Publication date: March 26, 2009
    Inventor: Neil Benjamin
  • Publication number: 20090074646
    Abstract: The efficiency of an etching process may be increased in various ways, and the cost of an etching process may be decreased. Unused etchant may be isolated and recirculated during the etching process. Etching byproducts may be collected and removed from the etching system during the etching process. Components of the etchant may be isolated and used to general additional etchant. Either or both of the etchant or the layers being etched may also be optimized for a particular etching process.
    Type: Application
    Filed: September 12, 2008
    Publication date: March 19, 2009
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventors: Teruo Sasagawa, Xiaoming Yan
  • Patent number: 7504643
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa). The cleaning arrangement may further include a gas return system.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: March 17, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov, Derk Jan Wilfred Klunder, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Publication number: 20090065146
    Abstract: Gas delivery ports 15 are equidistantly formed at a plurality of positions along the inner wall of the chamber 1 and are connected through gas feed passages 14 to an annular communication passage 13. The annular communication passage 13 is formed of a gap defined by step portions 18 and 19 at the junction between the upper end of a lower chamber 2 and the lower end of an upper plate 27 of a lid unit 30. The annular communication passage 13 serves as a gas distribution device for uniformly distributing and supplying gas to the gas feed passages 14. The annular communication passage 13 is connected to a gas supply source section 16 through gas passages 12 formed at arbitrary positions in the wall of the lower chamber 2 and extending in the vertical direction and gas feed ports 72.
    Type: Application
    Filed: March 5, 2007
    Publication date: March 12, 2009
    Applicant: Tokyo Electron Limited
    Inventor: Jun Yamashita
  • Publication number: 20090068844
    Abstract: Mixtures of fluorine and inert gases like nitrogen and/or argon can be used for etching of semiconductors, solar panels and flat panels (TFTs and LCDs), and for cleaning of semiconductor surfaces and plasma chambers. Preferably, fluorine is comprised in an amount of 15 to 25 vol.-% in binary mixtures. The gas mixtures can be used as substitute or drop-in for respective mixtures comprising NF3 and permit a very flexible operation of plasma apparatus. For example, apparatus tuned for NF3/Ar mixtures can be operated without further tuning using fluorine and argon, optionally together with nitrogen. The fluorine content is preferably in the range of 1 to 5 vol.-%, if ternary mixtures of fluorine, nitrogen and argon are used.
    Type: Application
    Filed: April 6, 2007
    Publication date: March 12, 2009
    Applicant: SOLVAY FLUOR GMBH
    Inventors: Anja Pischtiak, Thomas Schwarze, Michael Pittroff
  • Publication number: 20090050603
    Abstract: A method for etching a dielectric layer disposed below an antireflection layer (ARL) is provided. The method comprises (a) forming a patterned mask with mask features over the ARL, the mask having isolated areas and dense areas of the mask features, (b) trimming and opening, and (c) etching the dielectric layer using the trimmed mask. The trimming and opening comprises a plurality of cycles, where each cycle includes (b1) a trim-etch phase which etches the ARL in a bottom of the mask features and selectively trims the isolated areas of the mask with respect to the dense areas, and (b2) a deposition-etch phase which deposits a deposition layer on the mask while further etching the ARL in the bottom of the mask features. The trimming and opening result in a net trimming of the mask in the isolated areas.
    Type: Application
    Filed: August 20, 2007
    Publication date: February 26, 2009
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Dongho Heo, Supriya Goyal, Jisoo Kim, S.M. Reza Sadjadi
  • Patent number: 7495239
    Abstract: A cleaning arrangement for a lithographic apparatus module may be provided in a collector. The cleaning arrangement includes a hydrogen radical source configured to provide a hydrogen radical containing gas to at least part of the module and a pump configured to pump gas through the module such that a flow speed of the hydrogen radical containing gas provided through at least part of the module is at least 1 m/s. The cleaning arrangement may also include a gas shutter configured to modulate a flow of the hydrogen radical containing gas to at least part of the module, a buffer volume of at least 1 m3 in communication with the module, and a pump configured to provide a gas pressure in the buffer volume between 0.001 mbar (0.1 Pa) and 1 mbar (100 Pa).
    Type: Grant
    Filed: December 22, 2005
    Date of Patent: February 24, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Maria Freriks, Vadim Yevgenyevich Banine, Vladimir Vitalevitch Ivanov
  • Patent number: 7494560
    Abstract: An apparatus and method for forming a self-limiting etchable layer on a workpiece. The apparatus comprises: a chamber adapted for holding a workpiece; a distribution plate within the chamber, wherein the distribution plate includes channels for introducing a first fluid (e.g., ammonia) and a second fluid (e.g., hydrogen fluoride) into the apparatus, such that the first and second fluids may be directed into the apparatus at the angles ?1 and ?2 with respect to an exposed surface of the distribution plate, wherein the channels for each type of fluid may be arranged respectively in alternating rings, and wherein each angle ?1 and ?2 are at least 45 degrees and less than 90 degrees, offset by ?2 and ?2 and ?1 and ?1 by analogy. The method for forming the etchable layer on the workpiece comprises introducing a first fluid and a second fluid into the chamber through the channels.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: February 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Christopher A. Newton, Robert D. Ostromecki
  • Patent number: 7491292
    Abstract: An apparatus for catching byproducts in semiconductor device processing equipment is disposed in an exhaust line between a process chamber and a vacuum pump. The apparatus includes a cylindrical trap housing member, an upper cover and a lower cover covering the upper part and lower part of the trap housing, respectively, a heater disposed under the upper cover, first and second cooling plates disposed in the trap housing, a post spacing the cooling plates, apart and a cooling system for cooling respective portions of the apparatus. The cooling system includes a delivery pipe for supplying refrigerant, a discharge pipe for discharging the refrigerant from the apparatus, first cooling piping extending through each cooling plate and connected to the delivery and discharge pipes, and second cooling piping extending helically along the outer circumferential surface of the trap housing.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: February 17, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Hun Han, Jin-Jun Park, Do-In Bae
  • Patent number: 7491291
    Abstract: An apparatus traps residual products before the products can be formed in or flow to a vacuum pump in semiconductor device manufacturing equipment. The apparatus is connected between a process chamber and the vacuum pump and includes first and second cooling plates alternately disposed inside a hollow cylindrical housing. The first cooling plates each have a base and a grid projecting from a surface of the base, and define a vent hole extending through a central portion of the base. Each of the second cooling plates have a base and a grid projecting from a surface of the base, and define a plurality of vent holes extending through an outer peripheral portion of the base. Gaseous products flowing from a process chamber and through the housing are transformed into powder that adheres to the cooling plates.
    Type: Grant
    Filed: January 3, 2006
    Date of Patent: February 17, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jin-Jun Park
  • Publication number: 20090029528
    Abstract: The present invention generally provides apparatus and method for forming a clean and damage free surface on a semiconductor substrate. One embodiment of the present invention provides a system that contains a cleaning chamber that is adapted to expose a surface of substrate to a plasma cleaning process prior to forming an epitaxial layer thereon. In one embodiment, a method is employed to reduce the contamination of a substrate processed in the cleaning chamber by depositing a gettering material on the inner surfaces of the cleaning chamber prior to performing a cleaning process on a substrate. In one embodiment, oxidation and etching steps are repeatedly performed on a substrate in the cleaning chamber to expose or create a clean surface on a substrate that can then have an epitaxial placed thereon. In one embodiment, a low energy plasma is used during the cleaning step.
    Type: Application
    Filed: June 25, 2008
    Publication date: January 29, 2009
    Inventors: Errol Antonio C. SANCHEZ, Johanes SWENBERG, David K. CARLSON, Roisin L. DOHERTY