Showerhead-type Patents (Class 156/345.34)
  • Patent number: 8317968
    Abstract: A plasma processing apparatus includes a gas distribution member which supplies a process gas and radio frequency (RF) power to a showerhead electrode. The gas distribution member can include multiple gas passages which supply the same process gas or different process gases at the same or different flow rates to one or more plenums at the backside of the showerhead electrode. The gas distribution member provides a desired process gas distribution to be achieved across a semiconductor substrate processed in a gap between the showerhead electrode and a bottom electrode on which the substrate is supported.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: November 27, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric Lenz
  • Patent number: 8317922
    Abstract: A gas injection unit and a thin film deposition apparatus having the gas injection unit are provided. Since a variety of different kinds of organic materials can be sequentially vaporized and injected by a single injection unit, a variety of different kinds of thin films can be deposited in a single chamber. Furthermore, the gas injection structure of the injector unit can be easily controlled. Therefore, even when the process conditions such as the size of the substrate, the process temperature of the chamber, and the like are altered, it becomes possible to actively response to the altered process conditions by simply replacing some parts without replacing the whole injector unit.
    Type: Grant
    Filed: December 27, 2008
    Date of Patent: November 27, 2012
    Assignee: Jusung Engnineering Co., Ltd.
    Inventors: Chang Jae Lee, Young-Ho Kwon
  • Patent number: 8313610
    Abstract: A temperature control module for a showerhead electrode assembly for a semiconductor material plasma processing chamber includes a heater plate adapted to be secured to a top surface of a top electrode of the showerhead electrode assembly, and which supplies heat to the top electrode to control the temperature of the top electrode; a cooling plate adapted to be secured to and thermally isolated from a surface of a top plate of the showerhead electrode assembly, and to cool the heater plate and control heat conduction between the top electrode and heater plate; and at least one thermal choke adapted to control heat conduction between the heater plate and cooling plate.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8313611
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Grant
    Filed: December 5, 2011
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventor: Dean J. Larson
  • Patent number: 8313805
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which includes an inner electrode mechanically attached to a backing plate by a clamp ring and an outer electrode attached to the backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release cam pins extending upward from the upper face of the outer electrode. To compensate for differential thermal expansion, the clamp ring can include expansion joins at spaced locations which allow the clamp ring to absorb thermal stresses.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Babak Kadkhodayan, Rajinder Dhindsa, Anthony de la Llera, Michael C. Kellogg
  • Patent number: 8313665
    Abstract: Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact points across the backing plate; and at least one thermally and electrically conductive gasket separating the backing plate and the thermal control plate, or the backing plate and showerhead electrode, at the contact points. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed.
    Type: Grant
    Filed: November 24, 2010
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Thomas R. Stevenson, Anthony de la Llera, Saurabh Ullal
  • Patent number: 8308865
    Abstract: A showerhead for chemical vapor deposition (CVD) includes a head storing reaction gas flowing thereinto and feeding the stored reaction gas to a reaction chamber, and at least one support member passing through and coupled with the head and the reaction chamber so as to support the head.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Changsung Sean Kim, Jong Pa Hong, Kyung Ho Lee
  • Patent number: 8307781
    Abstract: This surface wave excitation plasma CVD system, along with feeding a material gas including silicon element by feeding the material gas into a chamber 1 from at least one of an upper surface gas introduction conduit and a side surface gas introduction conduit, also activates the material gas with a surface wave excitation plasma and feeds a process gas which initiates chemical reactions within the material gas into the chamber 1 from a process gas introduction conduit 5. A gas feed aperture of the upper surface gas introduction conduit and/or the side surface gas introduction conduit is provided in a position which is closer to the substrate than the gas feed aperture of the process gas introduction conduit.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: November 13, 2012
    Assignee: Shimadzu Corporation
    Inventor: Masayasu Suzuki
  • Patent number: 8303713
    Abstract: A flow inlet element (22) for a chemical vapor deposition reactor (10) is formed from a plurality of elongated tubular elements (64, 65) extending side-by-side with one another in a plane transverse to the upstream to downstream direction of the reactor. The tubular elements have inlets for ejecting gas in the downstream direction. A wafer carrier (14) rotates around an upstream to downstream axis. The gas distribution elements may provide a pattern of gas distribution which is asymmetrical with respect to a medial plane (108) extending through the axis.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: November 6, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Mikhail Belousov, Bojan Mitrovic, Keng Moy
  • Patent number: 8298372
    Abstract: Methods and apparatus for providing a process gas to a substrate in a processing system are disclosed herein. In some embodiments, the substrate processing system may include a process chamber having a substrate support disposed therein; a light source disposed above the process chamber to direct energy towards the substrate support; and a window assembly disposed between the light source and the substrate support to allow light energy provided by the light source to enter the process chamber towards the substrate support, wherein the window assembly includes an inlet to receive a process gas and one or more outlets to distribute the process gas into the process chamber.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: October 30, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Tae Jung Kim, Martin Ripley
  • Patent number: 8298370
    Abstract: Disclosed is an apparatus for chemical vapor deposition (CVD) with a showerhead through which a source material gas is injected over a substrate to deposit a film on the substrate.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: October 30, 2012
    Assignee: Piezonics Co., Ltd.
    Inventor: Chul Soo Byun
  • Patent number: 8298336
    Abstract: A gas chamber contains upper and lower chamber bodies forming a cavity, a heating chuck for a wafer, a remote gas source, and an exhaust unit. Gas is injected into the cavity through channels in an injector. Each channel has sections that are bent with respect to each other at a sufficient angle to substantially eliminate entering light rays entering the channel from exiting the channel without reflection. The channels have funnel-shaped nozzles at end points proximate to the chuck. The injector also has thermal expansion relief slots and small gaps between the injector and mating surfaces of the chamber and gas source. The temperature of the injector is controlled by a cooling liquid in cooling channels and electrical heaters in receptacles of the injector. The upper chamber body is funnel-shaped and curves downward at an end of the upper chamber body proximate to the chuck.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: October 30, 2012
    Assignee: Lam Research Corporation
    Inventors: Ing-Yann Wang, Jaroslaw W. Winniczek, David J. Cooperberg, Erik A. Edelberg, Robert P. Chebi
  • Patent number: 8298337
    Abstract: The invention relates to a gas inlet element (2) for a CVD reactor with a chamber (4), which has a multitude of bottom-side outlet openings (23), via which a process gas introduced into the chamber (4) via edge-side access openings (10) exits into a process chamber (21) of the CVD reactor (1). In order to homogenize the gas composition, the invention provides that at least one mixing chamber arrangement (11, 12, 13) is situated upstream from the access openings (10), and at least two process gases are mixed with one another inside this mixing chamber arrangement.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: October 30, 2012
    Assignee: Aixtron, Inc.
    Inventors: Markus Reinhold, Peter Baumann, Gerhard Karl Strauch
  • Publication number: 20120267049
    Abstract: Vacuum processing chambers having provisions for improved electrical contact to substrate carrier. Specific embodiments provide a plasma processing chamber having a pedestal for supporting the carrier, and a plurality of fixed posts and resilient contacts are distributed over the area of the pedestal. The fixed posts provide physical support for the carrier, while the resilient contacts provide reliable and repeatable multi-point electrical contact to the carrier.
    Type: Application
    Filed: April 25, 2011
    Publication date: October 25, 2012
    Inventors: Craig Lyle STEVENS, Wendell Thomas BLONIGAN
  • Patent number: 8293015
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hyman W. H. Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong Yuan, Hougong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Patent number: 8293013
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: October 23, 2012
    Assignee: Intermolecular, Inc.
    Inventor: Jay Brian DeDontney
  • Patent number: 8291857
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hyman Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong (John) Yuan, Hou Gong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Patent number: 8287646
    Abstract: An MOCVD reactor such as a rotating disc reactor (10) is equipped with a gas injector head having diffusers (129) disposed between adjacent gas inlets. The diffusers taper in the downstream direction. The injector head desirably has inlets (117) for a first gas such as a metal alkyl disposed in radial rows which terminate radially inward from the reactor wall to minimize deposition of the reactants on the reactor wall. The injector head desirably also has inlets (125) for a second gas such as ammonia arranged in a field between the rows of first gas inlets, and additionally has a center inlet (135) for the second gas coaxial with the axis of rotation.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: October 16, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Bojan Mitrovic, Alex Gurary, Eric A. Armour
  • Patent number: 8282736
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Grant
    Filed: February 21, 2012
    Date of Patent: October 9, 2012
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Andrew Nguyen, Ajit Balakrishna, Michael C. Kutney
  • Patent number: 8282735
    Abstract: A reactor configured to subject a substrate to alternately repeated surface reactions of vapor-phase reactants is disclosed. In one embodiment, the reactor includes a reaction chamber that defines a reaction space; one or more inlets; an exhaust outlet; a gas flow control guide structure; and a substrate holder. The gas flow control guide includes one or more channels, each of which extends from a respective one of the one or more inlets to a first portion of a periphery of the reaction space. Each of the channels widens as the channel extends from the inlet to the reaction space. At least one of the channels is configured to generate a non-uniform laminar flow at the first portion of the periphery of the reaction space such that the laminar flow includes a plurality of flow paths that provide different amounts of a fluid.
    Type: Grant
    Filed: November 26, 2008
    Date of Patent: October 9, 2012
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Seung Woo Choi, Gwang Lae Park, Chun Soo Lee, Jeong Ho Lee, Young Seok Choi
  • Patent number: 8282734
    Abstract: An article having a protective coating for use in semiconductor applications and methods for making the same are provided. In certain embodiments, a method of coating an aluminum surface of an article utilized in a semiconductor processing chamber is provided. The method comprises providing a processing chamber; placing the article into the processing chamber; flowing a first gas comprising a carbon source into the processing chamber; flowing a second gas comprising a nitrogen source into the processing chamber; forming a plasma in the chamber; and depositing a coating material on the aluminum surface. In certain embodiments, the coating material comprises an amorphous carbon nitrogen containing layer. In certain embodiments, the article comprises a showerhead configured to deliver a gas to the processing chamber.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: October 9, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Deenesh Padhi, Chiu Chan, Sudha Rathi, Ganesh Balasubramanian, Jianhua Zhou, Karthik Janakiraman, Martin J. Seamons, Visweswaren Sivaramakrishnan, Derek R. Witty, Hichem M'Saad
  • Patent number: 8282769
    Abstract: A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; and a plurality of bar-shaped heat transfer columns standing on the opposing surface. Here, the heat transfer columns have varying lengths and/or thicknesses to adjust heat capacities thereof. The heat transfer columns are made of one of aluminum, stainless steel, and copper.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Patent number: 8282770
    Abstract: A substrate processing apparatus capable of preventing the abnormal discharge from being generated on a substrate. A housing chamber houses the substrate. A mounting stage arranged in the housing chamber, is configured to enable the substrate to be mounted thereon. A disc-like electrode structure is connected to a high-frequency power supply, and connected to a gas supply apparatus via at least one gas supply system. The electrode structure has therein at least one buffer chamber and a plurality of connecting sections connected to the gas supply system. The buffer chamber is communicated with the inside of the housing chamber via a number of gas holes, and is communicated with the gas supply system via the plurality of connecting sections. The plurality of connecting sections for the buffer chamber are arranged on the circumference of a circle centering around the center of the electrode structure at equal intervals.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Tatsuya Handa
  • Publication number: 20120247675
    Abstract: A plasma generation antenna and a plasma processing apparatus can supply a gas and an electromagnetic wave effectively. A plasma processing apparatus 10 includes a processing chamber 100 in which a plasma process is performed; a wavelength shortening plate 480 configured to transmit an electromagnetic wave; and a plasma generation antenna 200 having a shower head 210 provided adjacent to the wavelength shortening plate 480. The shower head 210 is made of a conductor, and has a multiple number of gas holes 215 and a multiple number of slots 220 through which the electromagnetic wave passes. The slots 220 are provided at positions isolated from the gas holes 215.
    Type: Application
    Filed: March 30, 2012
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Taro IKEDA, Tomohito KOMATSU, Shigeru KASAI
  • Patent number: 8277888
    Abstract: An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: October 2, 2012
    Assignee: Intermolecular, Inc.
    Inventor: Jay Brian Dedontney
  • Patent number: 8277560
    Abstract: A CVD apparatus cleaning method that efficiently removes by-product such as SiO2 or Si3N4 adhered to and deposited on surfaces of an inner wall, an electrode, and the like in a reaction chamber at a film forming step. In the cleaning method the discharged cleaning gas amount is very small, environmental influences such as global warming can be lessened, and cost can be reduced. A CVD apparatus supplying reactive gas into a reaction chamber and forming a deposited film on a surface of a base material provided in the reaction chamber includes an exhaust gas recycling path recycling an exhaust gas reaching the reaction chamber from downstream of a pump on an exhaust path for exhausting a gas from an inner part of the reaction chamber through the pump.
    Type: Grant
    Filed: March 19, 2003
    Date of Patent: October 2, 2012
    Assignees: National Institute of Advanced Industrial Science and Technology, Canon Anelva Corporation, Ulvac, Inc., Kanto Denka Kogyo Co., Ltd., Sanyo Electric Co., Ltd., Showa Denko K.K., Sony Corporation, Tokyo Eectron Limited, Hitachi Kokusai Electric Inc., Panasonic Corporation, Mitsubishi Denki Kabushiki Kaisha, Renesas Electronics Corporation
    Inventors: Katsuo Sakai, Seiji Okura, Masaji Sakamura, Kaoru Abe, Hitoshi Murata, Etsuo Wani, Kenji Kameda, Yuki Mitsui, Yutaka Ohira, Taisuke Yonemura, Akira Sekiya
  • Patent number: 8272346
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Grant
    Filed: April 10, 2009
    Date of Patent: September 25, 2012
    Assignee: Lam Research Corporation
    Inventors: Gregory R. Bettencourt, Gautam Bhattacharyya, Simon Gosselin Eng, Sandy Chao
  • Publication number: 20120234491
    Abstract: A plasma processing apparatus in which consumption of expensive krypton and xenon gases is suppressed as much as possible while reducing damage on a workpiece during plasma processing. In plasma processing of a substrate using a rare gas, two or more kinds of different rare gases are employed, and an inexpensive argon gas is used as one rare gas and any one or both of krypton and xenon gases having a larger collision cross-sectional area against electron than that of the argon gas is used as the other gas. Consequently, consumption of expensive krypton and xenon gases is suppressed as much as possible and damage on a workpiece is reduced during plasma processing.
    Type: Application
    Filed: May 11, 2012
    Publication date: September 20, 2012
    Inventors: Tadahiro OHMI, Akinobu Teramoto
  • Patent number: 8267042
    Abstract: The shower plate is arranged to seal an upper opening of a process container that is configured by a chamber, a spacer, and an upper plate. A plasma excitation gas is spurted into the chamber through the opening portions of the shower plate. Microwaves are supplied to a slot antenna arranged outside the shower plate, thereby generating plasma. Atmospheric air in a first gap between the inner wall of the spacer and the outer circumferential surface of the shower plate and a second gap between a radiation surface of the slot antenna and the dielectric cover plate is sucked by a gas suction unit through gas exhaust holes. The toxic gas is purified by a gas purification unit. Thus, the toxic gas is prevented from leaking out of the plasma processing apparatus even when the shower plate is broken.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Kiyotaka Ishibashi
  • Patent number: 8268117
    Abstract: A silicon-based showerhead electrode is provided that can include a backside, a frontside, and a plurality of showerhead passages extending from the backside of the silicon-based showerhead electrode to the frontside of the silicon-based showerhead electrode. The silicon-based showerhead electrode can comprise single crystal silicon. The silicon-based showerhead electrode may further include a plurality of partial recesses formed within the single crystal silicon along the backside of the silicon-based showerhead electrode. The plurality of partial recesses can leave a thickness of single crystal silicon between each of the partial recesses and the frontside of the silicon-based showerhead electrode.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: September 18, 2012
    Assignee: Lam Research Corporation
    Inventors: Greg Bettencourt, Raj Dhindsa, George Diercks, Randall A. Hardin, Jon Keihl, Duane Lytle, Alexei Marakhtanov, Roger Patrick, John Pegg, Shannon Spencer
  • Patent number: 8262798
    Abstract: The present invention herein provides a shower head whose temperature can be controlled in consideration of the film-forming conditions selected and a thin film-manufacturing device which permits the stable and continuous formation of thin films including only a trace amount of particles while reproducing a good film thickness distribution and compositional distribution, and a high film-forming rate and which is excellent in the productivity and the mass-producing ability as well as a method for the preparation of such a film.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: September 11, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Yutaka Nishioka, Masaki Uematsu, Koukou Suu
  • Publication number: 20120222814
    Abstract: Disclosed is a plasma processing apparatus capable of more accurately controlling plasma. The plasma processing apparatus includes a shower head provided within a processing chamber, in which a substrate accommodated therein is processed, to be faced to a mounting table for mounting the substrate and supply gas from a plurality of gas discharging holes provided on a facing surface that faces the mounting table toward a substrate in a shower pattern; a plurality of exhaust holes that passes through a surface located at an opposite side to the facing surface of the shower head; a circular plate-like body that is disposed parallel to the opposite surface in a exhaust space that communicates with the exhaust holes distributed at the opposite surface and made of a conductive material; and a moving unit configured to move the plate-like body to change a distance between the exhaust holes and the plate-like body.
    Type: Application
    Filed: March 2, 2012
    Publication date: September 6, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yuki HOSAKA, Naokazu FURUYA, Mitsunori OHATA
  • Publication number: 20120222815
    Abstract: Various implementations of hybrid ceramic faceplates for substrate processing showerheads are provided. The hybrid ceramic showerhead faceplates may include an electrode embedded within the ceramic material of the faceplate, as well as a pattern of through-holes. The electrode may be fully encapsulated within the ceramic material with respect to the through-holes. In some implementations, a heater element may also be embedded within the hybrid ceramic showerhead faceplate. A DC voltage source may be electrically connected with the hybrid ceramic showerhead faceplate during use. The hybrid ceramic faceplates may be easily removable from the substrate processing showerheads for easy cleaning and faceplate replacement.
    Type: Application
    Filed: March 2, 2012
    Publication date: September 6, 2012
    Inventors: Mohamed Sabri, Ramkishan Rao Lingampalli, Karl F. Leeser
  • Publication number: 20120222813
    Abstract: Embodiments of the present disclosure generally relate to vacuum processing chambers having different pumping requirements and connected to a shared pumping system through a single foreline. In one embodiment, the vacuum processing chambers include a high conductance pumping conduit and a low conductance pumping conduit coupled to a single high conductance foreline. In another embodiment, a plurality of unbalanced chamber groups may be connected to a common pumping system by a final foreline.
    Type: Application
    Filed: February 29, 2012
    Publication date: September 6, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Aniruddha Pal, Martin Jeffrey Salinas, Jared Ahmad Lee, Paul B. Reuter, Imad Yousif
  • Publication number: 20120216954
    Abstract: An apparatus and method for fabricating semiconductor devices may increase reliability of the semiconductor devices by decreasing generation of particles and enhancing operation efficiency by decreasing the number of cleanings. The apparatus may include a chamber having a cover plate, susceptors for securely placing semiconductor substrates within the chamber, shower heads located on the cover plate to supply reaction gases into the chamber, and a curtain gas line connected to the cover plate to supply heated curtain gases between the shower heads.
    Type: Application
    Filed: April 30, 2012
    Publication date: August 30, 2012
    Inventors: Jin-ho PARK, Seong-hwee Cheong, Gil-heyun Choi, Sang-woo Lee, Ho-ki Lee
  • Patent number: 8252116
    Abstract: A seal-protected perimeter partition valve apparatus defines a vacuum and pressure sealed space within a larger space confining a substrate processing chamber with optimized geometry, minimized footprint, and 360° substrate accessibility. A compact perimeter partitioned assembly with seal protected perimeter partition valve and internally contained substrate placement member further provides processing system modularity and substantially minimized system footprint.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: August 28, 2012
    Assignee: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Patent number: 8252114
    Abstract: An apparatus and related method for distributing process gas in a vapor deposition system is described. The gas distribution system includes a vertically movable piston within its plenum, and the movement of the piston controls the flow rate of process gas through the vapor distribution plate of the gas distribution system. The piston can be used to accommodate changes in processing parameters that affect flow characteristics and to create edge-enhanced, uniform, and center-enhanced profiles of deposited material on a substrate without the need to replace the vapor distribution plate.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: August 28, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Mirko Vukovic
  • Publication number: 20120205046
    Abstract: An apparatus and method are provided for controlling the intensity and distribution of a plasma discharge in a plasma chamber. In one embodiment, a shaped electrode is embedded in a substrate support to provide an electric field with radial and axial components inside the chamber. In another embodiment, the face plate electrode of the showerhead assembly is divided into zones by isolators, enabling different voltages to be applied to the different zones. Additionally, one or more electrodes may be embedded in the chamber side walls.
    Type: Application
    Filed: April 26, 2012
    Publication date: August 16, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Karthik Janakiraman, Thomas Nowak, Juan Carlos Rocha-Alvarez, Mark A. Fodor, Dale R. Du Bois, Amit Bansal, Mohamad A. Ayoub, Eller Y. Juco, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Patent number: 8236133
    Abstract: A gas distribution assembly for the ceiling of a plasma reactor includes a center fed hub and an equal path length distribution gas manifold underlying the center fed hub.
    Type: Grant
    Filed: June 20, 2008
    Date of Patent: August 7, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Dan Katz, David Palagashvili, Brian K. Hatcher, Theodoros Panagopoulos, Valentin N. Todorow, Edward P. Hammond, IV, Alexander M. Paterson, Rodolfo P. Belen
  • Patent number: 8236106
    Abstract: A shower head is provided in a processing chamber for processing a substrate therein. Further, the shower head has a facing surface facing a mounting table for mounting thereon the substrate and serves to supply one or more gases through the facing surface toward the substrate. The shower head includes a central gas supply unit for supplying a first gas through a central portion of the facing surface toward the substrate, a peripheral gas supply unit for supplying a second gas through a peripheral portion of the facing surface toward the substrate and a gas exhaust unit, provided with a plurality of gas exhaust holes formed between the central gas supply unit and the peripheral gas supply unit, for exhausting the first and the second gas from the facing surface.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Fumiko Kiriishi, Tsuyoshi Komiyama
  • Patent number: 8236134
    Abstract: A gas distributor for a plasma apparatus includes: a body having a plurality of injection holes, the body being divided into a lower portion and an upper portion with respect to a center surface; and a body supporting unit connected to the lower portion.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: August 7, 2012
    Assignee: Jusung Engineering Co., Ltd.
    Inventor: Ho-Chul Kang
  • Patent number: 8231799
    Abstract: A plasma reactor for processing a workpiece such as a semiconductor wafer has a housing defining a process chamber, a workpiece support configured to support a workpiece within the chamber during processing and comprising a plasma bias power electrode. The reactor further includes plural gas sources containing different gas species, plural process gas inlets and an array of valves capable of coupling any of said plural gas sources to any of said plural process gas inlets. The reactor also includes a controller governing said array of valves and is programmed to change the flow rates of gases through said inlets over time. A ceiling plasma source power electrode of the reactor has plural gas injection zones coupled to the respective process gas inlets. In a preferred embodiment, the plural gas sources comprise supplies containing, respectively, fluorocarbon or fluorohydrocarbon species with respectively different ratios of carbon and fluorine chemistries.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: July 31, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Xiaoye Zhao, Kenny L. Doan, Ezra Robert Gold, Paul Lukas Brillhart, Bruno Geoffrion, Bryan Pu, Daniel J. Hoffman
  • Publication number: 20120180954
    Abstract: Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.
    Type: Application
    Filed: October 3, 2011
    Publication date: July 19, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Jang-Gyoo Yang, Matthew L. Miller, Xinglong Chen, Kien N. Chuc, Qiwei Liang, Shankar Venkataraman, Dmitry Lubomirsky
  • Patent number: 8221582
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: July 17, 2012
    Assignee: Lam Research Corporation
    Inventors: Roger Patrick, Gregory R. Bettencourt, Michael C. Kellogg
  • Patent number: 8221581
    Abstract: A processing gas supply hole is constituted with a gas outlet hole formed at an electrode plate and a gas injection hole formed at a processing gas supply mechanism main unit. At the gas injection hole, a processing gas having flowed in on the upstream side is injected toward the gas outlet hole through an injection opening of a nozzle portion disposed on the downstream side, so as to generate a suction force at a suction flow passage formed around the nozzle portion by taking advantage of the ejector defect.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: July 17, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Publication number: 20120175062
    Abstract: A showerhead electrode and assembly useful for plasma etching includes cam locks which provide improved thermal contact between the showerhead electrode and a backing plate. The cam locks include cam shafts in the backing plate which engage enlarged heads of studs mounted on the showerhead electrode. The assembly can include an annular shroud surrounding the showerhead electrode and eight of the cam shafts in the backing plate can be operated such that each cam shaft simultaneously engages a stud on the annular shroud and a stud in an outer row of studs on the showerhead electrode. Another eight cam shafts can be operated such that each cam shaft engages a pair of studs on inner and middle rows of the studs mounted of the showerhead electrode.
    Type: Application
    Filed: January 6, 2011
    Publication date: July 12, 2012
    Applicant: Lam Research Corporation
    Inventors: Anthony de la Llera, Pratik Mankidy, Rajinder Dhindsa, Michael C. Kellogg, Gregory R. Bettencourt, Roger Patrick
  • Patent number: 8216486
    Abstract: A temperature control module for a semiconductor processing chamber comprises a thermally conductive component body, one or more channels in the component body and one or more tubes concentric therewith, such that gas filled spaces surround the tubes. By flowing a heat transfer liquid in the tubes and adjusting the gas pressure in the spaces, localized temperature of the component body can be precisely controlled. One or more heating elements can be arranged in each zone and a heat transfer liquid can be passed through the tubes to effect heating or cooling of each zone by activating the heating elements and/or varying pressure of the gas in the spaces.
    Type: Grant
    Filed: November 28, 2011
    Date of Patent: July 10, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Henry Povolny, Jerry K. Antolik
  • Patent number: 8216418
    Abstract: The present invention relates generally to plasma processing and, more particularly, to plasma processing chambers and electrode assemblies used therein. According to one embodiment of the present invention, an electrode assembly is provided comprising a thermal control plate, a silicon-based showerhead electrode, a thermally conductive gasket, and a plurality of o-rings, wherein respective profiles of a frontside of the thermal control plate and a backside of the showerhead electrode cooperate to define a thermal interface. The thermally conductive gasket and the o-rings are positioned along this thermal interface with the o-rings separating the thermally conductive gasket from the showerhead passages such that the gasket is isolated from the showerhead passages. The gasket may facilitate heat transfer across the thermal interface from the showerhead electrode to the thermal control plate.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: July 10, 2012
    Assignee: Lam Research Corporation
    Inventors: Roger Patrick, Raj Dhindsa, Greg Bettencourt, Alexei Marakhtanov
  • Patent number: 8216419
    Abstract: A shower head for a chemical vapor deposition chamber can comprise a plurality of first injectors for a first reactant gas and a plurality of second injectors for a second reactant gas. The first and second injectors can be interspersed among one another so as to enhance control of the mixing and delivery of reactant gases within the chemical deposition chamber. Cooling water can be communicated through a plurality of gun drilled bores formed intermediate injectors of the shower head. In this manner, enhanced control of the mixing and delivery of reactant gases can be provided.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: July 10, 2012
    Assignee: Bridgelux, Inc.
    Inventors: Vahid S. Moshtagh, Jeffrey C. Ramer
  • Patent number: 8216376
    Abstract: A method of combinatorially processing a substrate and combinatorial processing chamber are provided. The processing chamber includes opposing annular rings defining a conductance gap that extends radially outward. The opposing annular rings are configured to vary the conductance gap in-situ. The variation of the conductance gap is another parameter for processing regions of a substrate differently to evaluate the impact of the conductance variation on a deposition process.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: July 10, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Jay Dedontney, James Tsung