With Plasma Generation Means Remote From Processing Chamber Patents (Class 156/345.35)
  • Publication number: 20140217065
    Abstract: A system and method for etching a material, including a compound having a formulation of XYZ, wherein X and Y are one or more metals and Z is selected from one or more Group 13-16 elements, such as carbon, nitrogen, boron, silicon, sulfur, selenium, and tellurium, are disclosed. The method includes a first etch process to form one or more first volatile compounds and a metal-depleted layer and a second etch process to remove at least a portion of the metal-depleted layer.
    Type: Application
    Filed: March 4, 2013
    Publication date: August 7, 2014
    Applicant: ASM IP HOLDING B.V.
    Inventors: Jereld Lee Winkler, Eric James Shero, Fred Alokozai
  • Patent number: 8795463
    Abstract: A joint system includes: a transfer-in/out station capable of holding a plurality of substrates or a plurality of superposed substrates, and transferring-in/out the substrates or superposed substrates to/from a processing station; and the processing station performing predetermined processing on the substrates and joining the substrates together. The processing station includes: a surface activation apparatus activating a front surface of the substrate; a surface hydrophilizing apparatus hydrophilizing and cleaning the front surface of the substrate; a joint apparatus joining the substrates together; and a transfer region for transferring the substrate or superposed substrate to the surface activation apparatus, the surface hydrophilizing apparatus, and the joint apparatus.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: August 5, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Nishibayashi, Yasuharu Iwashita, Takeshi Tamura, Tatsuya Kitayama
  • Publication number: 20140202633
    Abstract: A system for processing a substrate includes a plasma chamber to produce a plasma including reactive gas ions at a first pressure, a bias supply to supply a bias between the plasma chamber and the substrate, a plasma sheath modifier disposed between the plasma chamber and substrate, the plasma sheath modifier having an aperture configured to direct the reactive ions toward the substrate in a beam having an ion beam profile, and a process chamber enclosing the substrate, the process chamber at a second pressure different than the first pressure to define a pressure differential.
    Type: Application
    Filed: April 11, 2014
    Publication date: July 24, 2014
    Inventors: Ludovic Godet, Xianfeng Lu, Deepak A. Ramappa
  • Patent number: 8772171
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: July 8, 2014
    Assignee: Lam Research Corporation
    Inventor: Dean J. Larson
  • Patent number: 8771461
    Abstract: The present invention relates to a plasma processing apparatus in which it is possible to efficiently perform maintenance of a processing chamber. A plasma processing apparatus has a processing chamber including a lower chamber and an upper chamber, a platen on which a silicon substrate is placed, a processing gas supply device, coils, high-frequency power supply unit for coil, an elevating board with a through hole provided to be vertically movable, an elevating mechanism for supporting and moving the elevating board, and a fixing mechanism for fixing the upper chamber. The fixing member is configured from a fixing board, first fixing bolts for connecting and fixing a top plate to the elevating board using the fixing board, second fixing bolts for fixing a flange portion of a holding member to an annular plate, and third fixing bolts for fixing the annular plate to a sidewall of the lower chamber.
    Type: Grant
    Filed: December 3, 2008
    Date of Patent: July 8, 2014
    Assignee: SPP Technologies Co., Ltd.
    Inventors: Toshihiro Hayami, Yasuyuki Hayashi
  • Patent number: 8757178
    Abstract: A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: June 24, 2014
    Assignee: Lam Research Corporation
    Inventors: Robert P. Chebi, Jaroslaw W. Winniczek
  • Patent number: 8746173
    Abstract: A plasma deposition device for coating a substrate includes: a vacuum chamber; a waveguide disposed in the vacuum chamber for transmitting a microwave; an antenna unit disposed in the vacuum chamber above the substrate for receiving the microwave from the waveguide, and connected to the waveguide, the antenna unit including an outer conductor and an inner conductor wire that is disposed inside the outer conductor; a gas supplying conduit disposed inside the outer conductor and surrounding the inner conductor wire, and including a plurality of exhaust holes for blowing a plasma-inducing gas downward and toward the substrate to interact with the microwave and to produce plasma; and a blocking unit disposed in the vacuum chamber to prevent the plasma-inducing gas blown to the substrate from flowing back to the gas supplying conduit.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: June 10, 2014
    Assignees: Mastek Technologies
    Inventors: I-Nan Lin, Ton-Rong Tseng
  • Publication number: 20140148014
    Abstract: A substrate processing apparatus and method includes a chamber, a remote plasma source outside the chamber to provide activated ammonia and activated hydrogen fluoride into the chamber, and a direct plasma source to provide ion energy to a substrate inside the chamber. The plasma source includes ground electrodes extending in a first direction on a first plane perpendicularly spaced apart from a plane on which the substrate is disposed and defined by the first direction and a second direction perpendicular to the first direction and power electrodes disposed between the ground electrodes, extending in the first direction parallel to each other and receiving power from an RF power source to generate plasma between adjacent ground electrodes. The activated ammonia and the activated hydrogen fluoride are supplied on the substrate through a space between the power electrode and the ground electrode.
    Type: Application
    Filed: November 18, 2013
    Publication date: May 29, 2014
    Applicant: KOREA RESEARCH INSTITUTE OF STANDARDS AND SCIENCE
    Inventors: ShinJae You, Jung-Hyung Kim, Yong-Hyung Shin, Dae-Jin Seong, Daewoong Kim
  • Publication number: 20140141614
    Abstract: A system and method for generating and using plasma is provided. An embodiment comprises a plasma generating unit that comprises beta-phase aluminum oxide. A precursor material is introduced to the plasma generating unit and a plasma is induced from the precursor material. The plasma may be used to deposit or etch materials on a semiconductor substrate.
    Type: Application
    Filed: November 16, 2012
    Publication date: May 22, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fei-Fan Chen, Wen-Sheng Wu, Chien Kuo Huang
  • Publication number: 20140131308
    Abstract: A method and apparatus for forming a magnetic layer having a pattern of magnetic properties on a substrate is described. The method includes using a metal nitride hardmask layer to pattern the magnetic layer by plasma exposure. The metal nitride layer is patterned using a nanoimprint patterning process with a silicon oxide pattern negative material. The pattern is developed in the metal nitride using a halogen and oxygen containing remote plasma, and is removed after plasma exposure using a caustic wet strip process. All processing is done at low temperatures to avoid thermal damage to magnetic materials.
    Type: Application
    Filed: March 13, 2013
    Publication date: May 15, 2014
    Inventors: Roman GOUK, Steven VERHAVERBEKE, Alexander KONTOS, Adolph Miller ALLEN, Kevin MORAES
  • Publication number: 20140099794
    Abstract: Systems and methods are described relating to semiconductor processing chambers. An exemplary chamber may include a first remote plasma system fluidly coupled with a first access of the chamber, and a second remote plasma system fluidly coupled with a second access of the chamber. The system may also include a gas distribution assembly in the chamber that may be configured to deliver both the first and second precursors into a processing region of the chamber, while maintaining the first and second precursors fluidly isolated from one another until they are delivered into the processing region of the chamber.
    Type: Application
    Filed: March 13, 2013
    Publication date: April 10, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Anchuan Wang, Xinglong Chen
  • Patent number: 8671879
    Abstract: Systems and methods for plasma processing of microfeature workpieces are disclosed herein. In one embodiment, a method includes generating a plasma in a chamber while a microfeature workpiece is positioned in the chamber, measuring optical emissions from the plasma, and determining a parameter of the plasma based on the measured optical emissions. The parameter can be an ion density or another parameter of the plasma.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: March 18, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Patent number: 8653405
    Abstract: In one aspect, operating a vacuum plasma process system including a plasma discharge chamber is accomplished by generating a main plasma in the discharge chamber in a first operating state, and generating an auxiliary plasma in the discharge chamber in a second operating state. Generating the main plasma includes generating a main plasma power with a first number of RF power generators, and generating an auxiliary plasma power with a second number of RF power generators, such that the second number is smaller than the first number.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: February 18, 2014
    Assignee: HUETTINGER Elektronik GmbH + Co. KG
    Inventors: Thomas Kirchmeier, Michael Glück, Christoph Hofstetter, Gerd Hintz
  • Patent number: 8652297
    Abstract: A coaxial VHF power coupler includes conductive element inside a hollow cylindrical outer conductor of the power coupler and surrounding an axial section of a hollow cylindrical inner conductor of the power coupler. Respective plural motor drives contacting the hollow cylindrical outer conductor are connected to respective locations of the movable conductive element.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: February 18, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Zhigang Chen, Kartik Ramaswamy, James D. Carducci, Shahid Rauf, Andrew Nguyen
  • Patent number: 8641862
    Abstract: Plasma is generated using elemental hydrogen, a weak oxidizing agent, and a fluorine containing gas. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas mixture into the reaction chamber where the mixture reacts with the high-dose implant resist. The process removes both the crust and bulk resist layers at a high strip rate, and leaves the work piece surface substantially residue free with low silicon loss.
    Type: Grant
    Filed: May 2, 2012
    Date of Patent: February 4, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung
  • Patent number: 8622021
    Abstract: A method of increasing mean time between cleans of a plasma etch chamber and chamber parts lifetimes is provided. Semiconductor substrates are plasma etched in the chamber while using at least one sintered silicon nitride component exposed to ion bombardment and/or ionized halogen gas. The sintered silicon nitride component includes high purity silicon nitride and a sintering aid consisting of silicon dioxide. A plasma processing chamber is provided including the sintered silicon nitride component. A method of reducing metallic contamination on the surface of a silicon substrate during plasma processing is provided with a plasma processing apparatus including one or more sintered silicon nitride components. A method of manufacturing a component exposed to ion bombardment and/or plasma erosion in a plasma etch chamber, comprising shaping a powder composition consisting of high purity silicon nitride and silicon dioxide and densifying the shaped component.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: January 7, 2014
    Assignees: Lam Research Corporation, Ceradyne Inc.
    Inventors: Travis R. Taylor, Mukund Srinivasan, Bobby Kadkhodayan, K. Y. Ramanujam, Biljana Mikijelj, Shanghua Wu
  • Patent number: 8623142
    Abstract: A coating apparatus includes a base, actuators, separating boards and a gas guide grill. The base includes a carrying surface for supporting a workpiece. The base defines recesses on the carrying surface. The actuators include shafts rotatably located in the recesses correspondingly, and motors for driving the shafts. The separating boards are located above the carrying surface and securely connected to the shafts. The separating boards define chambers therebetween. The separating boards are capable of being rotated toward the carrying surface by the shafts. The gas guide grill is located above the base. The gas guide grill defines gas guide holes corresponding to the chambers respectively.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: January 7, 2014
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Publication number: 20140004708
    Abstract: Provided are methods and systems for removing a native silicon oxide layer on a wafer. In a non-sequential approach, a wafer is provided with a native silicon oxide layer on a polysilicon layer. An etchant including a hydrogen-based species and a fluorine-based species is introduced, exposed to a plasma, and flowed onto the wafer at a relatively low temperature. The wafer is then heated to a slightly elevated temperature to substantially remove the native oxide layer. In a sequential approach, a wafer is provided with a native silicon oxide layer. A first etchant including a hydrogen-based species and a fluorine-based species is flowed onto the wafer. Then the wafer is heated to a slightly elevated temperature, a second etchant is flowed towards the wafer, and the second etchant is exposed to a plasma to complete the removal of the native silicon oxide layer and to initiate removal of another layer such as a polysilicon layer.
    Type: Application
    Filed: June 12, 2013
    Publication date: January 2, 2014
    Inventors: Bayu Thedjoisworo, David Cheung, Joon Park
  • Patent number: 8591699
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Grant
    Filed: November 14, 2012
    Date of Patent: November 26, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Ramprakash Sankarakrishnan, Dale R. Du Bois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Patent number: 8580076
    Abstract: A plasma apparatus, various components of the plasma apparatus, and an oxygen free and nitrogen free processes for effectively removing photoresist material and post etch residues from a substrate with a carbon and/or hydrogen containing low k dielectric layer(s).
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: November 12, 2013
    Assignee: LAM Research Corporation
    Inventors: Alan Frederick Becknell, Thomas James Buckley, David Ferris, Richard E. Pingree, Jr., Palanikumaran Sakthivel, Aseem Kumar Srivastava, Carlo Waldfried
  • Patent number: 8573154
    Abstract: The present invention relates to a plasma film forming apparatus. In the plasma film forming apparatus, a flow control jig is disposed between a plasma nozzle and a film formation region of a substrate. The flow control jig has a plasma supply path, a raw material supply path, a film formation joined path formed by combining the plasma supply path and the raw material supply path, an exhaust path for discharging a plasma discharge gas and an unreacted raw material transported from the film formation region, and a recovery path for returning the unreacted raw material in the exhaust path to the plasma supply path.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: November 5, 2013
    Assignee: Honda Motor Co., Ltd.
    Inventor: Shunichi Yorozuya
  • Publication number: 20130256271
    Abstract: Methods and apparatus for controlling plasma in a plasma processing system having at least an inductively coupled plasma (ICP) processing chamber are disclosed. The ICP chamber employs at least a first/center RF coil, a second/edge RF coil disposed concentrically with respect to the first/center RF coil, and a RF coil set having at least a third/mid RF coil disposed concentrically with respect to the first/center RF coil and the second/edge RF coil in a manner such that the third/mid RF coil is disposed in between the first/center RF coil and the second/edge RF coil. During processing, RF currents in the same direction are provided to the first/center RF coil and the second/edge RF coil while RF current in the reverse direction (relative to the direction of the currents provided to the first/center RF coil and the second/edge RF coil) is provided to the third/mid RF coil.
    Type: Application
    Filed: April 3, 2012
    Publication date: October 3, 2013
    Inventors: Theodoros Panagopoulos, John Holland, Alex Paterson
  • Publication number: 20130244440
    Abstract: A chamber filler kit for an inductively coupled plasma processing chamber in which semiconductor substrates are processed by inductively coupling RF energy through a window facing a substrate supported on a cantilever chuck. The kit includes at least one chamber filler which reduces the lower chamber volume in the chamber below the chuck. The fillers of the kit can be mounted in a standard chamber having a chamber volume of over 60 liters and by using different sized chamber fillers it is possible to reduce the chamber volume to provide desired gas flow conductance and accommodate changes in vacuum pressure during processing of the substrate. The chamber filler kit can be used to modify a standard chamber to accommodate different processing regimes such as rapid alternating processes wherein wide pressure changes are needed without varying a gap between the substrate and the window.
    Type: Application
    Filed: March 15, 2012
    Publication date: September 19, 2013
    Applicant: Lam Research Corporation
    Inventors: Jon McChesney, Theo Panagopoulos, Alex Paterson, Craig Blair
  • Patent number: 8536550
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Publication number: 20130228284
    Abstract: A chamber component configured to be coupled to a processing chamber is described. The chamber component comprises one or more adjustable gas passages through which a process gas is introduced to the process chamber. The adjustable gas passage may be configured to form a hollow cathode that creates a hollow cathode plasma in a hollow cathode region having one or more plasma surfaces in contact with the hollow cathode plasma. Therein, at least one of the one or more plasma surfaces is movable in order to vary the size of the hollow cathode region and adjust the properties of the hollow cathode plasma. Furthermore, one or more adjustable hollow cathodes may be utilized to adjust a plasma process for treating a substrate.
    Type: Application
    Filed: April 1, 2013
    Publication date: September 5, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuki DENPOH, Peter LG Ventzek, Lin Xu, Lee Chen
  • Publication number: 20130213574
    Abstract: A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region.
    Type: Application
    Filed: November 14, 2012
    Publication date: August 22, 2013
    Inventors: Ramprakash Sankarakrishnan, Dale R. Du Bois, Ganesh Balasubramanian, Karthik Janakiraman, Juan Carlos Rocha-Alvarez, Thomas Nowak, Visweswaren Sivaramakrishnan, Hichem M'Saad
  • Publication number: 20130192759
    Abstract: A plasma processing device according to the present invention includes a plasma processing chamber, a plasma producing chamber communicating with the plasma processing chamber, a radio-frequency antenna for producing plasma, a plasma control plate for controlling the energy of electrons in the plasma, as well as an operation rod and a moving mechanism for regulating the position of the plasma control plate. In this plasma processing device, the energy distribution of the electrons of the plasma produced in the plasma producing chamber can be controlled by regulating the distance between the radio-frequency antenna 16 and the plasma control plate by simply moving the operation rod in its longitudinal direction by the moving mechanism. Therefore, a plasma process suitable for the kind of gas molecules to be dissociated and/or their dissociation energy can be easily performed.
    Type: Application
    Filed: August 2, 2011
    Publication date: August 1, 2013
    Applicants: EMD CORPORATION, OSAKA UNIVERSITY
    Inventors: Yuichi Setsuhara, Akinori Ebe
  • Patent number: 8480848
    Abstract: The present invention relates to a plasma processing apparatus including: a processing chamber whose ceiling portion is opened and the inside thereof can be evacuated to vacuum; a ceiling plate which is made of dielectric material and is airtightly mounted to an opening of the ceiling portion; a planar antenna member which is installed on a top surface of the ceiling plate, for introducing a microwave into the processing chamber; and a coaxial waveguide, which has a central conductor connected to the planar antenna member, for supplying the microwave, wherein a gas passage is formed to pass through the central conductor, the planar antenna member, and the ceiling plate, and an electric field attenuating recess for attenuating an electric field intensity of the center portion of the ceiling plate is installed on a top surface of a center area of the ceiling plate.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: July 9, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Tetsuya Nishizuka, Toshihisa Nozawa
  • Patent number: 8475622
    Abstract: A method of reusing a consumable part for use in a plasma processing apparatus includes cleaning a surface of the consumable part made of SiC that has been eroded by a first plasma process performed for a specific period of time. The method further includes depositing SiC on the cleaned surface of the eroded consumable part by CVD. The method also includes remanufacturing a consumable part having a predetermined shape by machining the eroded consumable part on which the SiC is deposited for performing a second plasma process on a substrate by using the remanufactured consumable part.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: July 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Nobuyuki Nagayama, Naoyuki Satoh, Keiichi Nagakubo, Kazuya Nagaseki
  • Publication number: 20130157469
    Abstract: A top plate assembly is positioned above and spaced apart from the substrate support, such that a processing region exists between the top plate assembly and the substrate support. The top plate assembly includes a central plasma generation microchamber and a plurality of annular-shaped plasma generation microchambers positioned in a concentric manner about the central plasma generation microchamber. Adjacently positioned ones of the central and annular-shaped plasma generation microchambers are spaced apart from each other so as to form a number of axial exhaust vents therebetween. Each of the central and annular-shaped plasma generation microchambers is defined to generate a corresponding plasma therein and supply reactive constituents of its plasma to the processing region between the top plate assembly and the substrate support.
    Type: Application
    Filed: March 27, 2012
    Publication date: June 20, 2013
    Applicant: Lam Research Corporation
    Inventors: Akira Koshiishi, Peter L. G. Ventzek, Jun Shinagawa, John Patrick Holland
  • Publication number: 20130098555
    Abstract: In a plasma reactor employing a planar electron beam as a plasma source, the electron beam source chamber has an internal conductive fin that is profiled along a direction transverse to the beam propagation diction and parallel to the plane of the electron beam, in order to correct electron beam density distribution.
    Type: Application
    Filed: August 27, 2012
    Publication date: April 25, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Kallol Bera, Shahid Rauf, Ankur Agarwal
  • Patent number: 8420168
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: April 16, 2013
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Patent number: 8419854
    Abstract: In a film-forming apparatus in which two or more gases are used, a uniform film is formed. In a film-forming apparatus provided with a film-forming chamber and a shower head, the shower head is provided with a material gas diffusion chamber and a reactive gas diffusion chamber. A gas passage which communicates the material gas diffusion chamber and a material gas introduction pipe is constituted into multi-stages of one stage or more. Each stage has a gas passage represented by 2n-1 (where n is the number of stages). The first-stage gas passage has connected to the center thereof the material gas introduction pipe. Each of second-stage and subsequent-stage gas passages has connected to the center thereof connection holes which are provided on both ends of the previous-stage gas passages so as to be in communication with the previous-stage gas passages. Each of the final-stage gas passages is connected to the material gas diffusion chamber by connection holes formed on both ends of each of the gas passages.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: April 16, 2013
    Assignee: Ulvac, Inc.
    Inventors: Masanobu Hatanaka, Osamu Irino, Michio Ishikawa
  • Patent number: 8382940
    Abstract: A device (6) and a method for generating chlorine trifluoride is described, a high-density plasma (105) being generated in the interior of a plasma reactor (100) using plasma generating means (110, 120, 130, 150, 155, 160, 170, 180), and a first gas and a second gas, which react with one another under the influence of the high-density plasma (105) in the plasma reactor (100) under the formation of chlorine trifluoride, being supplied to the plasma reactor (100) via gas supply means (21, 22, 25, 26). In addition, a gas outlet (20) is provided, via which the generated chlorine trifluoride can be removed from the plasma reactor (100).
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: February 26, 2013
    Assignee: Robert Bosch GmbH
    Inventor: Franz Laermer
  • Patent number: 8361274
    Abstract: A vacuum processing apparatus is provided with: a vacuum processing tank; a first gas introduction section that is constructed such that a first processing gas in a radical state is introduced into the vacuum processing tank and is guided to a semiconductor wafer; and a second gas introduction section that is constructed such that a second processing gas that reacts with the first processing gas is introduced into the vacuum processing tank and is guided to the semiconductor wafer. The second gas introduction section has two shower nozzles provided at positions on either side of an introduction pipe provided for the first gas introduction section. According to this vacuum processing apparatus, high speed processing of a number of processing objects can be achieved. Moreover, the in-plane uniformity of the processing objects after processing can be ensured.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: January 29, 2013
    Assignees: Samsung Electronics Co., Ltd, Ulvac, Inc.
    Inventors: Kwang-Myung Lee, Ki-Young Yun, Il-Kyoung Kim, Sung-Wook Park, Seung-Ki Chae, No-Hyun Huh, Jae-Wook Kim, Jae-Hyuck An, Woo-Seok Kim, Myeong-Jin Kim, Kyoung-Ho Jang, Shinji Yanagisawa, Kengo Tsutsumi, Seiichi Takahashi
  • Publication number: 20130014897
    Abstract: An apparatus for controlling a plasma etching process includes plasma control structure that can vary a size of a plasma flow passage, vary a speed of plasma flowing through the plasma flow passage, vary plasma concentration flowing through the plasma flow passage, or a combination thereof.
    Type: Application
    Filed: September 21, 2012
    Publication date: January 17, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Ming Chang, Chi-Lun Lu
  • Patent number: 8349128
    Abstract: A method and apparatus for etching a substrate using a spatially modified plasma is provided herein. In one embodiment, the method includes providing a process chamber having a plasma stabilizer disposed above a substrate support pedestal. A substrate is placed upon the pedestal. A process gas is introduced into the process chamber and a plasma is formed from the process gas. The substrate is etched with a plasma having an ion density to radical density ratio defined by the plasma stabilizer.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Valentin N. Todorow, John P. Holland, Michael D. Willwerth
  • Patent number: 8343308
    Abstract: A ceiling plate provided at a ceiling portion of a process chamber that may be evacuated to a vacuum is disclosed. The ceiling plate allows microwaves emitted from a slot of a planar antenna member provided along with the ceiling plate to pass through the ceiling plate into the process chamber, and includes plural concave portions provided along a circle on a surface of the ceiling plate, the surface facing toward an inside of the process chamber.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Tetsuya Nishizuka, Kiyotaka Ishibashi, Toshihisa Nozawa
  • Patent number: 8343307
    Abstract: A method and apparatus for removing native oxides from a substrate surface is provided. In one embodiment, the apparatus for removing native oxides from a substrate surface includes a showerhead assembly. One embodiment of a showerhead assembly includes a hollow cylinder, a disc and an annular mounting flange. The hollow cylinder has a top wall, a bottom wall, an inner diameter wall and an outer diameter wall. The disc has a top surface and a lower surface. The top surface is coupled to the inner diameter wall. The lower surface is coupled to the bottom wall. The disc has a plurality of apertures connecting the lower surface to the top surface. The annular mounting flange extends from the outer diameter wall of the hollow cylinder. The mounting flange has an upper surface and a lower surface. The upper surface is coplanar with the top wall of the hollow cylinder. The lower surface having an elevation above the top surface of the disc.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: January 1, 2013
    Assignee: Applied Materials, Inc.
    Inventor: Joel M. Huston
  • Patent number: 8336490
    Abstract: A vertical plasma processing apparatus for performing a plasma process on a plurality of target objects together at a time includes an activation mechanism configured to turn a process gas into plasma. The activation mechanism includes a vertically elongated plasma generation box attached to a process container at a position corresponding to a process field to form a plasma generation area airtightly communicating with the process field, an ICP electrode provided to the plasma generation box, and an RF power supply connected to the electrode.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: December 25, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hiroyuki Matsuura, Toshiki Takahashi, Kohei Fukushima
  • Publication number: 20120322270
    Abstract: A plasma processing chamber and methods for operating the chamber are provided. An exemplary chamber includes an electrostatic chuck for receiving a substrate and a dielectric window connected to a top portion of the chamber. An inner side of dielectric window faces a plasma processing region that is above the electrostatic chuck and an outer side of the dielectric window is exterior to the plasma processing region. Inner and outer coils are disposed above the outer side of the dielectric window, and the inner and outer coils are connected to a first RF power source. A powered grid is disposed between the outer side of dielectric window and the inner and outer coils. The powered grid is connected to a second RF power source that is independent from the first RF power source.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Inventors: Maolin Long, Alex Paterson, Richard Marsh, Ying Wu
  • Publication number: 20120322239
    Abstract: Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer, the mask composed of a layer covering and protecting the integrated circuits. The semiconductor wafer is supported by a substrate carrier. The mask is then patterned with a laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to singulate the integrated circuits while supported by the substrate carrier.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 20, 2012
    Inventors: Saravjeet Singh, Brad Eaton, Ajay Kumar, Wei-Sheng Lei, James M. Holden, Madhava Rao Yalamanchili, Todd J. Egan
  • Publication number: 20120312473
    Abstract: A high frequency power distribution device includes: a distribution member for uniformly dividing a high frequency power into divided high frequency powers; coaxial transmission lines through which the divided high frequency powers are introduced to the parallel plate electrodes; and an impedance control mechanism which controls an input impedance to decrease a current value. The distribution member includes: a high frequency power input unit for inputting the high frequency power to an input point; and a plurality of high frequency power output units spaced from each other at a regular interval, and each of the coaxial transmission lines are connected to one of the high frequency power output units, and the impedance control mechanism is provided at the high frequency power input unit.
    Type: Application
    Filed: June 8, 2012
    Publication date: December 13, 2012
    Applicant: Tokyo Electron Limited
    Inventors: Kenichi Hanawa, Takahiro Tomita
  • Patent number: 8317971
    Abstract: A plasma processing apparatus includes a discharge window made of a dielectric material, a discharge chamber which is grounded and includes an opening formed at its one end and the discharge window provided at its other end facing the opening, a gas supply system which supplies a gas into the discharge chamber, a high-frequency power application mechanism which applies a high-frequency power to the gas to generate a plasma inside the discharge chamber, a substrate holder which can hold a substrate while facing the discharge window on the outer side of the discharge chamber, a shielding member which partially shields the plasma that impinges on the substrate, and a supporting member which supports the shielding member. The supporting member is grounded and fixed on the shielding member at a position which is farther from the substrate than the shielding member and different from that of the discharge window.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: November 27, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Kazuto Yamanaka, Akio Sato
  • Patent number: 8316797
    Abstract: New and improved microwave plasma assisted reactors, for example chemical vapor deposition (MPCVD) reactors, are disclosed. The disclosed microwave plasma assisted reactors operate at pressures ranging from about 10 Torr to about 760 Torr. The disclosed microwave plasma assisted reactors include a movable lower sliding short and/or a reduced diameter conductive stage in a coaxial cavity of a plasma chamber. For a particular application, the lower sliding short position and/or the conductive stage diameter can be variably selected such that, relative to conventional reactors, the reactors can be tuned to operate over larger substrate areas, operate at higher pressures, and discharge absorbed power densities with increased diamond synthesis rates (carats per hour) and increased deposition uniformity.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: November 27, 2012
    Assignee: Board of Trustees of Michigan State University Fraunhofer USA
    Inventors: Jes Asmussen, Timothy Grotjohn, Donnie K. Reinhard, Thomas Schuelke, M. Kagan Yaran, Kadek W. Hemawan, Michael Becker, David King, Yajun Gu, Jing Lu
  • Patent number: 8291857
    Abstract: Embodiments of the invention provide apparatuses and methods for atomic layer deposition (ALD), such as plasma-enhanced ALD (PE-ALD). In some embodiments, a PE-ALD chamber is provided which includes a chamber lid assembly coupled with a chamber body having a substrate support therein. In one embodiment, the chamber lid assembly has an inlet manifold assembly containing an annular channel encompassing a centralized channel, wherein the centralized channel extends through the inlet manifold assembly, and the inlet manifold assembly further contains injection holes extending from the annular channel, through a sidewall of the centralized channel, and to the centralized channel.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hyman Lam, Bo Zheng, Hua Ai, Michael Jackson, Xiaoxiong (John) Yuan, Hou Gong Wang, Salvador P. Umotoy, Sang Ho Yu
  • Publication number: 20120258607
    Abstract: A semiconductor substrate processing system includes a processing chamber and a substrate support defined to support a substrate in the processing chamber. The system also includes a plasma chamber defined separate from the processing chamber. The plasma chamber is defined to generate a plasma. The system also includes a plurality of fluid transmission pathways fluidly connecting the plasma chamber to the processing chamber. The plurality of fluid transmission pathways are defined to supply reactive constituents of the plasma from the plasma chamber to the processing chamber. The system further includes a plurality of power delivery components defined to deliver power to the plurality of fluid transmission pathways, so as to generate supplemental plasma within the plurality of fluid transmission pathways. The plurality of fluid transmission pathways are defined to supply reactive constituents of the supplemental plasma to the processing chamber.
    Type: Application
    Filed: January 24, 2012
    Publication date: October 11, 2012
    Applicant: Lam Research Corporation
    Inventors: John Patrick Holland, Peter L.G. Ventzek, Harmeet Singh, Jun Shinagawa, Akira Koshiishi
  • Patent number: 8281741
    Abstract: A plasma deposition apparatus is provided. The plasma deposition apparatus comprises a chamber. A pedestal is placed in the chamber. A plasma generator is placed in the chamber and over the pedestal. The plasma generator comprises a plasma jet for plasma thin film deposition having a discharge direction angle ?1 larger than 0° and less than 90° between a normal direction of the pedestal and the discharge direction of the plasma jet. A gas-extracting pipe extends into the chamber and over the pedestal. The gas-extracting pipe provides a pumping path for particles and side-products having a pumping direction angle ?2 larger than 0° and less than 90° between the normal direction of the pedestal and the pumping direction of the gas-extracting pipe. The chamber is kept at an ambient atmospheric pressure.
    Type: Grant
    Filed: February 1, 2011
    Date of Patent: October 9, 2012
    Assignee: Industrial Technology Research Institute
    Inventors: Chia-Chiang Chang, Chin-Jyi Wu, Shin-Chih Liaw, Chun-Hung Lin
  • Patent number: 8262798
    Abstract: The present invention herein provides a shower head whose temperature can be controlled in consideration of the film-forming conditions selected and a thin film-manufacturing device which permits the stable and continuous formation of thin films including only a trace amount of particles while reproducing a good film thickness distribution and compositional distribution, and a high film-forming rate and which is excellent in the productivity and the mass-producing ability as well as a method for the preparation of such a film.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: September 11, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Yutaka Nishioka, Masaki Uematsu, Koukou Suu
  • Publication number: 20120222813
    Abstract: Embodiments of the present disclosure generally relate to vacuum processing chambers having different pumping requirements and connected to a shared pumping system through a single foreline. In one embodiment, the vacuum processing chambers include a high conductance pumping conduit and a low conductance pumping conduit coupled to a single high conductance foreline. In another embodiment, a plurality of unbalanced chamber groups may be connected to a common pumping system by a final foreline.
    Type: Application
    Filed: February 29, 2012
    Publication date: September 6, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Aniruddha Pal, Martin Jeffrey Salinas, Jared Ahmad Lee, Paul B. Reuter, Imad Yousif