With Workpiece Support Patents (Class 156/345.51)
  • Publication number: 20120211166
    Abstract: Ion sources and methods for generating an ion bean with a controllable ion current density distribution. The ion source includes a discharge chamber having an optical grid position proximate at a first end and a re-entrant vessel positioned proximate a second end that opposes the first end. A plasma shaper extends from the re-entrant vessel and into the plasma discharge chamber. A position of the plasma shaper is adjustable relative to the grid-based ion optic such that the plasma shaper may operably change a plasma density distribution within the discharge chamber.
    Type: Application
    Filed: April 16, 2012
    Publication date: August 23, 2012
    Applicant: VEECO INSTRUMENTS INC.
    Inventors: Rustam Yevtukhov, Boris L. Druz, Viktor Kanarov, Alan V. Hayes
  • Publication number: 20120211165
    Abstract: A sample table which stably holds a semiconductor wafer by maintaining smoothness of a contact surface via a lapping process and forming the contact surface to have an approximate recess shape, and a microwave plasma processing apparatus including the sample table.
    Type: Application
    Filed: September 29, 2010
    Publication date: August 23, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Wataru Yoshikawa, Kazuki Moyama, Nobuyuki Okayama, Kenji Sudou, Yasuhiro Otsuka
  • Patent number: 8241457
    Abstract: A microwave plasma processing system 10 includes: a processing chamber 100 in which a desired process is applied to a target object using a plasma; a susceptor 106 (stage) in the processing chamber 100 to support the target object; a high-frequency power supply 112 supplying high-frequency electric power to the susceptor 106; a capacitor 108a provided to the susceptor 106; and a measurement device 20 measuring voltages at the pair of plates of the capacitor 108a when high-frequency electric power is supplied from the high-frequency power supply 112 to the susceptor 106.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: August 14, 2012
    Assignees: Tokyo Electron Limited, Tohoku University
    Inventors: Mitsuo Kato, Masaki Sugiyama, Akihiko Hiroe, Tadahiro Ohmi, Masaki Hirayama
  • Patent number: 8236106
    Abstract: A shower head is provided in a processing chamber for processing a substrate therein. Further, the shower head has a facing surface facing a mounting table for mounting thereon the substrate and serves to supply one or more gases through the facing surface toward the substrate. The shower head includes a central gas supply unit for supplying a first gas through a central portion of the facing surface toward the substrate, a peripheral gas supply unit for supplying a second gas through a peripheral portion of the facing surface toward the substrate and a gas exhaust unit, provided with a plurality of gas exhaust holes formed between the central gas supply unit and the peripheral gas supply unit, for exhausting the first and the second gas from the facing surface.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Fumiko Kiriishi, Tsuyoshi Komiyama
  • Patent number: 8236109
    Abstract: A method for cleaning a component in a substrate processing apparatus including a processing chamber, foreign materials being attached to the component, at least a part of the component being exposed inside the processing chamber, and the substrate processing apparatus being adapted to load and unload a foreign material adsorbing member into and from the processing chamber. The method includes loading the foreign material adsorbing member into the processing chamber; generating a plasma nearer the component than the foreign material adsorbing member; extinguishing the plasma; and unloading the foreign material adsorbing member from the processing chamber, wherein the generation and the extinguishment of the plasma are repeated alternately and the foreign material adsorbing member has a positive potential at least during the extinguishment of the plasma.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Akitaka Shimizu
  • Patent number: 8230807
    Abstract: The present invention describes a method for manufacturing a low dielectric constant coating, which coating comprises an inorganic and an organic component, wherein precursors for these components are activated in at least two plasma sources for plasma activated deposition of a chemical vapor phase and wherein said activated precursors are combined before they are deposited from the chemical vapor phase on the substrate to form the coating, characterized in that said inorganic component comprises porous nanoparticles. The invention also describes a device for the manufacture of a low dielectric constant coating.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: July 31, 2012
    Assignee: ASM International N.V.
    Inventors: Gregory Robert Alcott, Mariadriana Creatore, Joannes Leonard Linden, Mauritius Cornelis Maria van de Sanden
  • Publication number: 20120186747
    Abstract: A plasma processing apparatus is provided with a processing chamber which is arranged inside a vacuum container and plasma is formed inside, a circular shape plate member made of a dielectric material arranged above the processing chamber through which an electric field is transmitted, and a cavity part having a cylindrical shape arranged above the plate member and the electric field is introduced inside, in which the cavity part is provided with a first cylindrical cavity part having a cylindrical shape cavity with a large diameter and having the plate member as the bottom face, a second cylindrical cavity part arranged above to be connected to the first cylindrical cavity part and having a cylindrical shape cavity with a small diameter, and a step portion for connecting these between the first and the second cylindrical cavity parts.
    Type: Application
    Filed: September 20, 2011
    Publication date: July 26, 2012
    Inventors: Shinji OBAMA, Masaru Izawa, Kenji Maeda, Yoshihide Kihara, Kouichi Yamamoto, Hitoshi Tamura
  • Patent number: 8227052
    Abstract: The invention relates to a method for plasma-assisted chemical vapour deposition for coating or material removal on the inner wall of a hollow body (42). The method involves introducing a gas lance (44) into the hollow body (42) and forming a cavity plasma (45) to form a plasma cloud arranged at the tip of the gas lance by applying an electric radio-frequency field to an RF electrode (41).
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: July 24, 2012
    Assignee: Ralf Stein
    Inventor: Oliver Nöll
  • Patent number: 8226795
    Abstract: Magnetic clips for use with a substrate holder for holding a substrate in a processing chamber of a plasma treatment system. The clip includes first and second body members each having a clamping surface and a magnet. The first body member is configured to be mechanically connected with the substrate holder. The second body member is pivotally connected by a hinge with the first body member for movement relative to the first body member between closed and opened positions. In the closed position, an edge region of the substrate is positioned between the clamping surfaces. In the opened position, the edge region is released. The magnet on the second body member magnetically attracts the magnet on the first body member, when the second body member is in the closed position, to apply a force that restrains movement of the edge region of the substrate relative to the clamping surfaces.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: July 24, 2012
    Assignee: Nordson Corporation
    Inventors: William J. Brass, Louis Fierro, James D. Getty
  • Patent number: 8226770
    Abstract: Methods and apparatus for providing constant emissivity of the backside of susceptors are provided. Provided is a susceptor comprising: a susceptor plate having a surface for supporting a wafer and a backside surface opposite the wafer supporting surface; a layer comprising an oxide, a nitride, an oxynitride, or combinations thereof located on the backside surface of the susceptor plate, the layer being stable in the presence of a reactive process gas. The layer comprises, for example, silicon dioxide, silicon nitride, silicon oxynitride, or combinations thereof. Also provided is a method comprising: providing a susceptor in a deposition chamber, the susceptor comprising a susceptor plate and a layer comprising an oxide, a nitride, an oxynitride, or combinations thereof, the layer being stable in the presence of the reactive process gases; locating the wafer on a support surface of the susceptor plate.
    Type: Grant
    Filed: May 4, 2007
    Date of Patent: July 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Errol Sanchez, David K. Carlson, Craig Metzner
  • Patent number: 8226769
    Abstract: An electrostatic chuck for receiving a substrate in a substrate processing chamber comprises a ceramic puck having a substrate receiving surface and an opposing backside surface with a plurality of spaced apart mesas. An electrode is embedded in the ceramic puck to generate an electrostatic force to hold a substrate. Heater coils located at peripheral and central portions of the ceramic puck allow independent control of temperatures of the central and peripheral portions of the ceramic puck. The chuck is supported by a base having a groove with retained air. The chuck and base can also have an overlying edge ring and clamp ring.
    Type: Grant
    Filed: April 26, 2007
    Date of Patent: July 24, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Alexander Matyushkin, Dennis Koosau, Theodoros Panagopoulos, John Holland
  • Patent number: 8221579
    Abstract: In a method of reusing a consumable part for use in a plasma processing apparatus, a silicon carbide (SiC) lump is formed by depositing SiC by chemical vapor deposition (CVD), and a consumable part for the plasma processing apparatus is manufactured by processing the SiC lump, the consumable part having a predetermined shape. A first plasma process is performed on a substrate by using the manufactured consumable part. A surface of the consumable part that has been eroded by the plasma process is subjected to a clean process for a specific period of time. SiC is deposited on the cleaned surface of the eroded consumable part by CVD. A consumable part having the predetermined shape is remanufactured by processing the eroded consumable part having the surface on which the SiC is deposited. A second plasma process is performed on a substrate by using the remanufactured consumable part.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: July 17, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Nobuyuki Nagayama, Naoyuki Satoh, Keiichi Nagakubo, Kazuya Nagaseki
  • Patent number: 8221580
    Abstract: A plasma reactor with a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface, and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck, a thermal model capable of simulating heat transfer between the evaporator and the surface based upon measurements from the temperature sensor and an agile control processor coupled to the thermal model and governing the backside gas pressure source in response to predictions from the model of changes in the selected pressure that would bring the temperature measured by the sensor closer to a desired temperature.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: July 17, 2012
    Assignees: Applied Materials, Inc., BE Aerospace, Inc.
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Patent number: 8222119
    Abstract: A method for temperature control during a process of cleaving a plurality of free-standing thick films from a bulk material includes clamping a bulk material using a mechanical clamp device adapted to engage the bottom region of the bulk material through a seal with a planar surface of a stage to form a cavity with a height between the bottom region and the planar surface. The planar surface includes a plurality of gas passageways allowing a gas filled in the cavity with adjustable pressure. The method also includes maintaining the temperature of the surface region by processing at least input data and executing a control scheme utilizing at least one or more of: particle bombardment to heat the surface region; radiation to heat the surface region; and gas-assisted conduction between the bottom region and the stage.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: July 17, 2012
    Assignee: Silicon Genesis Corporation
    Inventor: Francois J. Henley
  • Patent number: 8216379
    Abstract: A substrate holder comprises a generally circular planar body, the body having greater than or equal to two pairs of diametrically opposed, parallel flat edges, and wherein the substrate holder is configured to fit on a generally circular susceptor within a processing chamber. In some embodiments the substrate holder has four pairs of diametrically opposed, parallel flat edges, whereby the substrate holder is substantially octagonal. Furthermore, in some embodiments the substrate holder covers less than eighty percent of the susceptor area. A method of processing a substrate using the substrate holder includes: loading the substrate into a recess in the substrate holder; transferring the substrate holder through a loadlock into the processing chamber, the substrate holder being presented with a smallest cross-section aligned for passage through the loadlock; placing the substrate holder on the susceptor; and processing the substrate. The substrate holder may carry a plurality of substrates.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: July 10, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, Liang-Yuh Chen
  • Patent number: 8216376
    Abstract: A method of combinatorially processing a substrate and combinatorial processing chamber are provided. The processing chamber includes opposing annular rings defining a conductance gap that extends radially outward. The opposing annular rings are configured to vary the conductance gap in-situ. The variation of the conductance gap is another parameter for processing regions of a substrate differently to evaluate the impact of the conductance variation on a deposition process.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: July 10, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Rick Endo, Jay Dedontney, James Tsung
  • Patent number: 8211231
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: July 3, 2012
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Publication number: 20120164834
    Abstract: Methods and hardware for generating variable-density plasmas are described. For example, in one embodiment, a process station comprises a showerhead including a showerhead electrode and a substrate holder including a mesa configured to support a substrate, wherein the substrate holder is disposed beneath the showerhead. The substrate holder includes an inner electrode disposed in an inner region of the substrate holder and an outer electrode being disposed in an outer region of the substrate holder. The process station further comprises a plasma generator configured to generate a plasma in a plasma region disposed between the showerhead and the substrate holder, and a controller configured to control the plasma generator, the inner electrode, the outer electrode, and the showerhead electrode to effect a greater plasma density in an outer portion of the plasma region than in an inner portion of the plasma region.
    Type: Application
    Filed: December 22, 2010
    Publication date: June 28, 2012
    Inventors: Kevin Jennings, Mohamed Sabri, Edward Augustyniak, Sunil Kapoor, Douglas Keil
  • Patent number: 8206552
    Abstract: Embodiments of the invention provide an apparatus which provide good RF uniformity within a processing chamber. In one embodiment, an apparatus includes a substrate support assembly, a terminal, and a dielectric insulator. The substrate support assembly has a center passage formed along a center axis. An RF transmission line is provided. The RF transmission line has a substantially vertical portion and a substantially horizontal portion, wherein the terminal is coupled to the substantially horizontal portion of the RF transmission line. The dielectric insulator circumscribes the substantially horizontal portion of the RF transmission line. The dielectric insulator has a first opening through which the terminal passes.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: June 26, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Zhigang Chen, Shahid Rauf, Kartik Ramaswamy
  • Publication number: 20120152896
    Abstract: A physical vapor deposition (PVD) system and method includes a chamber including a target and a pedestal supporting a substrate. A target bias device supplies DC power to the target during etching of the substrate. The DC power is greater than or equal to 8 kW. A magnetic field generating device, including electromagnetic coils and/or permanent magnets, creates a magnetic field in a chamber of the PVD system during etching of the substrate. A radio frequency (RF) bias device supplies an RF bias to the pedestal during etching of the substrate. The RF bias is less than or equal to 120V at a predetermined frequency. A magnetic field produced in the target is at least 100 Gauss inside of the target.
    Type: Application
    Filed: December 21, 2010
    Publication date: June 21, 2012
    Inventors: Chunming Zhou, Liqi Wu, Karthik Colinjivadi, Emery Kuo, Huatan Qiu, KieJin Park
  • Patent number: 8197635
    Abstract: A diameter of a mounting unit of the stage of an ashing processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item.
    Type: Grant
    Filed: February 1, 2011
    Date of Patent: June 12, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Masaru Izawa
  • Patent number: 8197638
    Abstract: Wafer contamination is prevented, while preventing damage to a high-frequency electrode and a susceptor. A main body 41 of the susceptor 40 of an MMT apparatus is composed of a heater arranging plate 42, an electrode arranging plate 48, and a supporting plate 56 all made from quartz. A circular electrode arranging hole 49 with a fixed depth is concentrically formed on the upper surface of the electrode arranging plate 48, and quadrangular pillars 50 are formed protruding in a matrix on the bottom of the electrode arranging hole 49. Multiple insertion holes 52 are formed in a disk-shaped high-frequency electrode 51, and the high-frequency electrode 51 is installed in the electrode arranging hole 49 by inserting each pillar 50 into each insertion hole 52. The gaps Sa and Sb are provided between the high-frequency electrode 51 and the electrode arranging plate 48. The pillar 50 boosts the strength of the electrode arranging plate 48.
    Type: Grant
    Filed: March 4, 2005
    Date of Patent: June 12, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Mitsunori Ishisaka, Toshimitsu Miyata
  • Publication number: 20120138450
    Abstract: A coil assembly includes an encapsulation structure having a coil placement region formed therein. One or more access ports are formed through the encapsulation structure to the coil placement region. The coil placement region is hermetically sealed by the encapsulation structure outside of the one or more access ports. A coil device is disposed within the coil placement region within the encapsulation structure. Terminals of the coil device are accessible through the one or more access ports formed through the encapsulation structure. The encapsulation structure is formed of a material suitable for exposure to a plasma. The coil assembly can be disposed inside of a plasma processing chamber and above a support structure, such that the coil assembly is in exposure to a plasma generated between the coil assembly and the support structure by radiofrequency power supplied to the coil device within the coil assembly.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 7, 2012
    Applicant: Lam Research Corporation
    Inventor: Matthew Davis
  • Patent number: 8192577
    Abstract: In a plasma etching apparatus for performing a plasma etching on a surface of a substrate mounted on a susceptor in a processing vessel, a focus ring is installed to surround the substrate and has a first region at an inner side on a surface thereof, in which an average surface roughness is small such that a reaction product produced during an etching processing is not captured to be deposited, and a second region at an outer side from the first region, in which an average surface roughness is large such that a reaction product produced during the etching process is captured to be deposited. A boundary between the first and the second region is a part where an etching amount is relatively significantly changed compared to other parts while the focus ring is equipped in the plasma etching apparatus and the plasma etching is performed on the substrate.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: June 5, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Daiki Satoh, Hideyuki Kobayashi, Masato Horiguchi
  • Publication number: 20120132618
    Abstract: A method and apparatus for providing a uniform UV radiation irradiance profile across a surface of a substrate is provided. In one embodiment, a substrate processing tool includes a processing chamber defining a processing region, a substrate support for supporting a substrate within the processing region, an ultraviolet (UV) radiation source spaced apart from the substrate support and configured to transmit ultraviolet radiation toward the substrate positioned on the substrate support, and a light transmissive window positioned between the UV radiation source and the substrate support, the light transmissive window having an optical film layer coated thereon. In one example, the optical film layer has a non-uniform thickness profile in a radial direction, wherein a thickness of the optical film layer at the peripheral area of the light transmissive window is relatively thicker than at the center region of the optical film layer.
    Type: Application
    Filed: November 21, 2011
    Publication date: May 31, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SANJEEV BALUJA, Juan Carlos Rocha-Alvarez, Alexandros T. Demos
  • Patent number: 8178444
    Abstract: A substrate processing method that can eliminate unevenness in the distribution of plasma. The method is for a substrate processing apparatus that has a processing chamber in which a substrate is housed, a mounting stage that is disposed in the processing chamber and on which the substrate is mounted, and an electrode plate that is disposed in the processing chamber such as to face the mounting stage, the electrode plate being made of silicon and connected to a radio-frequency power source, and carries out plasma processing on the substrate. In the plasma processing, the temperature of the electrode plate is measured, and based on the measured temperature, the temperature of the electrode plate is maintained lower than a critical temperature at which the specific resistance value of the silicon starts changing.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: May 15, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Taichi Hirano, Masanobu Honda, Shinji Himori
  • Publication number: 20120111834
    Abstract: A plasma processing apparatus includes a process chamber, a platen for supporting a workpiece, a source configured to generate a plasma in the process chamber, and an insulating modifier. The insulating modifier has a gap, and a gap plane, where the gap plane is defined by portions of the insulating modifier closest to the sheath and proximate the gap. A gap angle is defined as the angle between the gap plane and a plane defined by the front surface of the workpiece. Additionally, a method of having ions strike a workpiece is disclosed, where the range of incident angles of the ions striking the workpiece includes a center angle and an angular distribution, and where the use of the insulating modifier creates a center angle that is not perpendicular to the workpiece.
    Type: Application
    Filed: January 19, 2012
    Publication date: May 10, 2012
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Timothy Miller, Svetlana Radovanov, Anthony Renau, Vikram Singh
  • Publication number: 20120103526
    Abstract: The disclosure relates to a chamber component or a method for fabricating a chamber component for use in a plasma processing chamber apparatus. The chamber component includes a polished high purity aluminum coating and a hard anodized coating that is resistive to the plasma processing environment.
    Type: Application
    Filed: October 19, 2011
    Publication date: May 3, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Alan Ouye, Renee Marguerite Koch
  • Publication number: 20120103524
    Abstract: Plasma processing apparatus that provide an asymmetric plasma distribution within the processing apparatus are provided herein. In some embodiments, a plasma processing apparatus may include a process chamber having a processing volume with a substrate support disposed therein; and a first RF coil disposed above the substrate support to couple RF energy into the processing volume, wherein an electric field generated by RF energy moving along the first RF coil is asymmetric about a central axis of the substrate support. In some embodiments, a pump port is disposed asymmetrically with respect to the processing volume to remove one or more gases from the processing volume. In some embodiments, the first RF coil is asymmetrically disposed about the central axis of the substrate support.
    Type: Application
    Filed: September 22, 2011
    Publication date: May 3, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Robert CHEBI, Alan CHESHIRE, Stanley DETMAR, Gabriel ROUPILLARD
  • Patent number: 8166914
    Abstract: A plasma processing apparatus of the batch type includes a tubular process container having a closed end and an open end opposite to each other, and a process field for accommodating target substrates, the process container including a tubular insulating body. The apparatus further includes a holder configured to hold the target substrates at intervals, a loading mechanism configured to load and unload the holder into and from the process container, and a lid member connected to the loading mechanism and configured to airtightly close the open end. A first electrode is disposed at the closed end of the process container, and a second electrode is disposed at the lid member, to constitute a pair of parallel-plate electrodes. An RF power supply is connected to one of the first and second electrodes and configured to apply an RF power for plasma generation.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Toshiki Takahashi
  • Patent number: 8169768
    Abstract: An electrostatic chuck for retaining a substrate. The chuck has a clamping surface for receiving the substrate, where the clamping surface is formed of a hard polymeric material filled with carbon nanotubes. Electrodes are disposed beneath the clamping surface, for inducing localized electrostatic charges in the substrate and thereby retaining the substrate against the clamping surface. A base supports the clamping surface and the electrodes.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: May 1, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Mohammed Tahmassebpur, Salam Harb
  • Publication number: 20120097332
    Abstract: Apparatus for processing a substrate is disclosed herein. In some embodiments, a substrate support may include a substrate support having a support surface for supporting a substrate the substrate support having a central axis; a first electrode disposed within the substrate support to provide RF power to a substrate when disposed on the support surface; an inner conductor coupled to the first electrode about a center of a surface of the first electrode opposing the support surface, wherein the inner conductor is tubular and extends from the first electrode parallel to and about the central axis in a direction away from the support surface of the substrate support; an outer conductor disposed about the inner conductor; and an outer dielectric layer disposed between the inner and outer conductors, the outer dielectric layer electrically isolating the outer conductor from the inner conductor. The outer conductor may be coupled to electrical ground.
    Type: Application
    Filed: October 22, 2010
    Publication date: April 26, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: XING LIN, DOUGLAS A. BUCHBERGER, JR., XIAOPING ZHOU, ANDREW NGUYEN, ANCHEL SHEYNER
  • Publication number: 20120097870
    Abstract: Apparatus for forming a magnetic field and methods of use thereof are provided herein. In some embodiments, a plurality of coils having substantially similar dimensions disposed about a process chamber in a symmetric pattern centered about a central axis of the process chamber, wherein the plurality of coils are configured to produce a magnetic field having a plurality of magnetic field lines that are substantially planar and substantially parallel. In some embodiments, the plurality of coils comprises eight coils disposed about the process chamber, wherein each of the eight coils is offset by an angle of about 45 degrees from respective adjacent coils of the eight coils.
    Type: Application
    Filed: April 29, 2011
    Publication date: April 26, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: GARY LERAY, SHAHID RAUF, VALENTIN N. TODOROW
  • Patent number: 8163088
    Abstract: To densify thin porous substrates (1) by chemical vapor infiltration, the invention proposes using loading tooling (10) comprising a tubular duct (10) disposed between first and second plates (12, 13) and around which the thin substrates for densification are disposed radially. The tooling as loaded in this way is then placed inside a reaction chamber (20) in an infiltration oven having a reactive gas admission inlet (21) connected to the tubular duct (11) to enable a reactive gas to be admitted into the duct which distributes the gas along the main faces on the substrates (1) in a flow direction that is essentially radial. The reactive gas can also flow in the opposite direction, i.e. it can be admitted into the tooling (10) from its outer envelope (16) and can be removed via the duct (11).
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: April 24, 2012
    Assignee: Snecma Propulsion Solide
    Inventors: Franck Lamouroux, Sébastien Bertrand, Stéphane Goujard, Alain Caillaud, Francis Bagilet, Stéphane Mazereau
  • Patent number: 8157953
    Abstract: In a plasma processing apparatus including a vacuum-evacuable processing chamber, a first lower electrode for supporting a substrate to be processed thereon is disposed in the processing chamber and an upper electrode is disposed above the first lower electrode to face the first lower electrode. Further, a second lower electrode is disposed under the first lower electrode while being electrically isolated from the first lower electrode. A processing gas supply unit supplies a processing gas into a space between the upper electrode and the first lower electrode. A first high frequency power supply unit applies a first high frequency power of a first frequency to the first lower electrode, and a second high frequency power supply unit applies a second high frequency power of a second frequency higher than the first frequency to the second lower electrode.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: April 17, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Yohei Yamazawa
  • Publication number: 20120088370
    Abstract: A plurality of substrate processing devices are disposed in a separated manner within a shared ambient environment. A conveyance device is disposed within the shared ambient environment and is defined to move a substrate through and between each of the substrate processing devices in a continuous manner. Some substrate processing devices are defined to perform dry substrate processing operations in which an energized reactive environment is created in exposure to the substrate in an absence of liquid material. Some substrate processing devices are defined to perform wet substrate processing operations in which at least one material in a liquid state is applied to the substrate. In one embodiment, a complementary pair of dry and wet substrate processing devices are disposed in the shared ambient environment in a sequential manner relative to movement of the substrate by the conveyance device.
    Type: Application
    Filed: October 6, 2010
    Publication date: April 12, 2012
    Applicant: Lam Research Corporation
    Inventors: David J. Hemker, Lubab L. Sheet, Jeffrey Marks
  • Patent number: 8152924
    Abstract: The invention relates to a device for depositing at least one layer on a substrate by means of a process gas which is introduced through a flow channel (4), extending in a vertical direction, of a gas inlet member (3), fixed in place with respect to a reactor housing, into a process chamber (1), extending in a horizontal direction, wherein the process gas leaves a gas outlet opening of a portion of the gas inlet member (3), protruding into the center of the rotationally symmetrical process chamber (1), and flows in a radially outward direction via a base (8?) of the process chamber (1), extending in a horizontal direction and rotating about the center, on which base the substrate lies. In order to improve the gas flow directly above the base of the process chamber, it is proposed that the front (3?) of the gas inlet member (3) protrudes into a pot-like recess (23) and an end portion (6?) of a gas deflecting face (6) is flush with the base (8?).
    Type: Grant
    Filed: November 11, 2006
    Date of Patent: April 10, 2012
    Assignee: Aixtron Inc.
    Inventors: Martin Dauelsberg, Johannes Käppeler, Conor Martin
  • Patent number: 8141514
    Abstract: A plasma processing apparatus having a substrate processing chamber, which enables leakage of plasma into an exhaust space to be prevented. The substrate processing chamber has therein a processing space in which plasma processing is carried out on a substrate, an exhaust space for exhausting gas out of the processing space, and an exhaust flow path that communicates the exhaust space and the processing space together. The plasma processing apparatus further has a grounding component that is electrically grounded and is disposed in the exhaust flow path. The grounding component has a conducting portion made of a conductive material, and the conducting portion has an exposed area exposed to the exhaust flow path in a range of 100 to 1000 cm2.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: March 27, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Naoki Matsumoto, Satoshi Tanaka, Yutaka Matsui
  • Patent number: 8142609
    Abstract: A plasma processing apparatus including a mounting table that includes a mounting table body having a temperature adjusted to be a predetermined level, and an electrostatic chuck disposed on an upper portion of the mounting table body, joined thereto with an acrylic adhesive having a thickness of 60 ?m or more, to adsorb the substrate thereon. The apparatus further including first and second heat transfer gas diffusion regions formed at a center and a circumferential edge, respectively, of an upper surface of the electrostatic chuck, and first and second heat transfer gas supply units to supply heat transfer gas to the first and second heat transfer gas diffusion regions, respectively. A volume ratio of the second heat transfer gas diffusion region to the first heat transfer gas diffusion region is equal to or less than 0.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: March 27, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Syuichi Takahashi, Hiroki Matsumaru, Nobutaka Nakao, Kenji Komatsu
  • Publication number: 20120070996
    Abstract: An apparatus for electrostatic chucking and dechucking of a semiconductor wafer includes an electrostatic chuck with a number of zones. Each zone includes one or more polar regions around a lift pin that contacts a bottom surface of the semiconductor wafer. The apparatus also includes one or more controllers that control the lift pins and one or more controllers that control the polar regions. The controller for the lift pins receives data from one or more sensors and uses the data to adjust the upward force of the lift pins. Likewise, the controller for the polar regions receives data from the sensors and uses the data to adjust the voltage in the polar regions.
    Type: Application
    Filed: December 16, 2010
    Publication date: March 22, 2012
    Inventor: Jennifer Fangli Hao
  • Publication number: 20120070988
    Abstract: A method for removing material from surfaces of at least a portion of at least one recess or at least one aperture extending into a surface of a substrate includes pressurizing fluid so as to cause the fluid to flow into the at least one recess or at least one aperture. The fluid may be pressurized by generating a pressure differential across the substrate, which causes the fluid to flow into or through the at least one aperture or recess. Apparatus for pressurizing fluid so as to cause it to flow into or through recesses or apertures in a substrate are also disclosed.
    Type: Application
    Filed: November 29, 2011
    Publication date: March 22, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Ross S. Dando
  • Patent number: 8137501
    Abstract: An apparatus for removing material on a bevel of a wafer is provided. A wafer support with a diameter that is less than the diameter of the wafer, wherein the wafer support is on a first side of the wafer, and wherein an outer edge of the wafer extends beyond the wafer support around the wafer is provided. An RF power source is electrically connected to the wafer. A central cover is spaced apart from the wafer support. A first electrically conductive ring is on the first side of and spaced apart from the wafer. A second electrically conductive ring is spaced apart from the wafer. An electrically conductive liner surrounds the outer edge of the wafer. A switch is between the liner and ground, allowing the liner to be switched from being grounded to floating.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: March 20, 2012
    Assignee: Lam Research Corporation
    Inventors: Yunsang Kim, Andrew Bailey, III, Greg Sexton, Keechan Kim, Andras Kuthi
  • Publication number: 20120048468
    Abstract: A fixture for etching PCD drill inserts is provided. The fixture design allows the fixture to be injection molded, significantly reducing costs and allowing the fixture to be disposed of after a single use. The fixture allows for faster use and more accurate etching of the PCD insert.
    Type: Application
    Filed: February 18, 2011
    Publication date: March 1, 2012
    Inventors: Allen Turner, Kyle Schick
  • Publication number: 20120043021
    Abstract: A plasma confinement assembly for a semiconductor processing chamber is provided. The assembly includes a plurality of confinement rings disposed over each other, and each of the plurality of confinement rings is separated by a space. A plunger moveable in a plane substantially orthogonal to the confinement rings. A proportional adjustment support is provided and coupled to the plunger. The proportional adjustment support is configured to move the confinement rings to one or more positions, such that the plunger is settable in positions along the plane. The positions define the space separating confinement rings, and the space is proportionally set between the confinement rings. The proportional adjustment support is defined by a plurality of support legs, and each of the support legs is pivotably interconnected with at least one other support leg.
    Type: Application
    Filed: October 28, 2011
    Publication date: February 23, 2012
    Inventor: Peter Cirigliano
  • Patent number: 8118938
    Abstract: A plasma processing chamber has a lower liner with an integrated flow equalizer. In an etching process, the processing gases may be unevenly drawn from the processing chamber which may cause an uneven etching of the substrate. The integrated flow equalizer is configured to equalize the flow of the processing gases evacuated from the chamber via the lower liner.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 21, 2012
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Andrew Nguyen, Ajit Balakrishna, Michael C. Kutney
  • Patent number: 8118940
    Abstract: A clamping mechanism for a semiconductor substrate includes: a C-shaped pickup plate; a susceptor top plate having a periphery adapted to receive and support an inner periphery portion of the C-shaped pickup plate thereon; and a clamp comprising (i) a top ring portion for clamping the substrate by sandwiching a periphery of the substrate between the top ring portion and the susceptor top plate and (ii) a pickup plate supporting portion adapted to support an outer periphery portion of the C-shaped pickup plate, wherein the C-shaped pickup plate is movable between the top ring portion and the pickup plate supporting portion, and the clamp is movable upward together with the C-shaped pickup plate and the susceptor top plate.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: February 21, 2012
    Assignee: ASM Japan K.K.
    Inventors: Akira Shimizu, Akira Watanabe
  • Patent number: 8118936
    Abstract: The present invention presents an improved baffle plate for a plasma processing system, wherein the design and fabrication of the baffle plate advantageously provides for a uniform processing plasma in the process space with substantially minimal erosion of the baffle plate.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: February 21, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hidehito Saigusa, Taira Takase, Kouji Mitsuhashi, Hiroyuki Nakayama
  • Publication number: 20120037316
    Abstract: A method of supplying an etching gas includes: supplying a first etching gas used in an etching process into a processing container; and supplying a second etching gas used in the etching process into the processing container, in which, when the first etching gas and the second etching gas are switched therebetween, only a small amount of a gas, which is needed as an etching gas before the switching and is not needed as an etching gas after the switching, is continuously supplied into the processing container.
    Type: Application
    Filed: August 10, 2011
    Publication date: February 16, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahiro OGASAWARA, Yoshiyuki KATO, Hideki MIZUNO, Yoshinobu HAYAKAWA
  • Patent number: 8114247
    Abstract: A plasma processing apparatus and a focus ring enables to perform uniform plasma processing over the entire surface of a substrate to be processed to thereby improve in-surface uniformity of plasma processing compared with conventional cases. The focus ring is disposed on a susceptor 2, which serves to mount thereon a semiconductor wafer W and further functions as a lower electrode, to surround a periphery of the semiconductor wafer W. The focus ring 6 includes a ring member of a thin plate shape disposed to surround the periphery of the wafer W while maintaining a gap therebetween and a lower ring body installed below the semiconductor wafer and the ring member of the thin plate shape.
    Type: Grant
    Filed: November 8, 2010
    Date of Patent: February 14, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shosuke Endoh, Shinji Himori
  • Publication number: 20120031875
    Abstract: A plasma processing method includes: etching an anti reflection coating film with plasma generated from an etching gas by using a resist film that is patterned as a mask, in a deposited film in which an Si-ARC film constituting the anti reflection coating film is formed on a layer to be etched and the ArF resist film is formed on the anti reflection coating film; and modifying the ArF resist film with plasma generated from a modifying gas including a CF4 gas, a COS gas and an Ar gas by introducing the modifying gas into a plasma processing apparatus, wherein the modifying is performed before the etching.
    Type: Application
    Filed: August 2, 2011
    Publication date: February 9, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanori HOSOYA, Masahiro ITO, Ryoichi YOSHIDA