With Workpiece Support Patents (Class 156/345.51)
  • Publication number: 20120031875
    Abstract: A plasma processing method includes: etching an anti reflection coating film with plasma generated from an etching gas by using a resist film that is patterned as a mask, in a deposited film in which an Si-ARC film constituting the anti reflection coating film is formed on a layer to be etched and the ArF resist film is formed on the anti reflection coating film; and modifying the ArF resist film with plasma generated from a modifying gas including a CF4 gas, a COS gas and an Ar gas by introducing the modifying gas into a plasma processing apparatus, wherein the modifying is performed before the etching.
    Type: Application
    Filed: August 2, 2011
    Publication date: February 9, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanori HOSOYA, Masahiro ITO, Ryoichi YOSHIDA
  • Patent number: 8110045
    Abstract: Processing equipment for an object to be processed is provided with a process container, the internal of which can be evacuated, a gas introducing means for introducing a prescribed gas into the process container, a supporting table provided in the process container, a ring-shaped supporting part provided on the supporting table, a mounting plate for mounting the object to be processed and supported by the supporting part, a plurality of thermoelectric conversion elements provided on an upper plane of the supporting table on an inner side of the supporting part, an element storing space evacuating means for evacuating inside the element storing space formed by a lower surface of the mounting plate, which is supported by the supporting part, an upper plane of the supporting table and the supporting part.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: February 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masatake Yoneda, Shigeru Kasai, Masahiro Shimizu
  • Publication number: 20120024479
    Abstract: Apparatus for controlling the flow of a gas in a process chamber is provided herein. In some embodiments, an apparatus for controlling the flow of a gas in a process chamber having a processing volume within the process chamber disposed above a substrate support and a pumping volume within the process chamber disposed below the substrate support may include an annular plate surrounding the substrate support proximate a level of a substrate support surface of the substrate support, wherein the annular plate extends radially outward toward an inner peripheral surface of the process chamber to define a uniform gap between an outer edge of the annular plate and the inner peripheral surface, wherein the uniform gap provides a uniform flow path from the processing volume to the pumping volume.
    Type: Application
    Filed: January 27, 2011
    Publication date: February 2, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: DAVID PALAGASHVILI, MICHAEL D. WILLWERTH, JINGBAO LIU
  • Patent number: 8104428
    Abstract: A plasma processing apparatus that enables formation of a deposit film on a surface of a grounding electrode to be prevented. A substrate processing chamber has therein a processing space in which plasma processing is carried out on a substrate, an RF electrode that applies radio frequency electrical power into the processing space, a DC electrode that applies a DC voltage into the processing space, and a grounding electrode at least part of which is exposed in the substrate processing chamber. The grounding electrode is disposed in a corner portion formed through intersection of a plurality of internal surfaces in the substrate processing chamber.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: January 31, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Noriaki Kodama
  • Patent number: 8104154
    Abstract: To efficiently fabricate a high quality piezoelectric vibrating piece regardless of an accuracy of an outer shape of a wafer, there is provided a method of fabricating a plurality of piezoelectric vibrating pieces at a time utilizing a wafer S, the method including a step of forming two or more of through holes 40 and forming outer shapes of a plurality of piezoelectric plates 10 simultaneously with the through holes by constituting reference points G by centers of the through holes by etching the wafer by a photolithography technology, a step of preparing a jig for a wafer having inserting pins formed to project by a number the same as a number of the through holes from above a flat plate portion, thereafter, mounting the wafer on the flat plate portion in a state of inserting the inserting pin into the through hole, a step of forming an electrode on outer surfaces of the plurality of piezoelectric plates, and a step of cutting to separate the plurality of piezoelectric plates from the wafer to fragment, and
    Type: Grant
    Filed: January 21, 2009
    Date of Patent: January 31, 2012
    Assignee: Seiko Instruments Inc.
    Inventors: Mitsuo Tomiyama, Takashi Kobayashi, Kazuyoshi Sugama
  • Patent number: 8101510
    Abstract: A plasma processing apparatus includes a process chamber, a platen positioned in the process chamber for supporting a workpiece, a source configured to generate a plasma in the process chamber having a plasma sheath adjacent to the front surface of the workpiece, and an insulating modifier. The insulating modifier has a gap, and a gap plane, where the gap plane is defined by portions of the insulating modifier closest to the sheath and proximate the gap. A gap angle is defined as the angle between the gap plane and a plane defined by the front surface of the workpiece. Additionally, a method of having ions strike a workpiece is disclosed, where the range of incident angles of the ions striking the workpiece includes a center angle and an angular distribution, and where the use of the insulating modifier creates a center angle that is not perpendicular to the workpiece.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: January 24, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Timothy Miller, Svetlana Radovanov, Anthony Renau, Vikram Singh
  • Publication number: 20120006491
    Abstract: A plasma texturing apparatus for a solar cell includes a susceptor having engagement projections to prevent a wafer mounted therein from slipping outward or fluctuating back and forth when aligning the wafer over a cathode for plasma texturing; a focus ring functioning to confine plasma when conducting a plasma texturing process; and a clamp placed on an inner surface of the focus ring in such a way as to have a downward slope, and having one end which is coupled to the focus ring and the other end which faces away from the one end, is formed to be pointed and functions to squeeze and support peripheral portions of the wafer.
    Type: Application
    Filed: September 7, 2010
    Publication date: January 12, 2012
    Applicant: SEMI-MATERIALS CO., LTD
    Inventors: Kun-Joo PARK, Yong-Gab KIM, Gi-Hong KIM, Kun PARK
  • Publication number: 20120006492
    Abstract: An etching chamber 1 incorporates a focus ring 9 so as to surround a semiconductor wafer W provided on a lower electrode 4. The plasma processor is provided with an electric potential control DC power supply 33 to control the electric potential of this focus ring 9, and so constituted that the lower electrode 4 is supplied with a DC voltage of, e.g., ?400 to ?600 V to control the electric potential of the focus ring 9. This constitution prevents surface arcing from developing along the surface of a substrate to be processed.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 12, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akihiro KIKUCHI, Satoshi Kayamori, Shinya Shima, Yuichiro Sakamoto, Kimihiro Higuchi, Kaoru Oohashi, Takehiro Ueda, Munehiro Shibuya, Tadashi Gondai
  • Patent number: 8092639
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck with a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck and a memory storing a schedule of changes in RF power or wafer temperature.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: January 10, 2012
    Assignee: Advanced Thermal Sciences Corporation
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Patent number: 8092637
    Abstract: A manufacturing method includes steps of: placing a film composed of dielectric, on the top surface of a sample stage, forming a film-like heater on the film made of the dielectric, supplying power to the heater to detect a temperature distribution, adjusting a resistance value of the heater on the basis of a result of detection of a temperature distribution so that the temperature distribution has a predetermined value, and then forming the film composed of the dielectric, on the heater.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: January 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yutaka Kouzuma, Yutaka Ohmoto, Mamoru Yakushiji, Ken Yoshioka, Tsunehiko Tsubone
  • Publication number: 20120000606
    Abstract: A plasma processing tool comprises a plasma chamber configured to generate a plasma from a gas introduced into the chamber where the generated plasma has an electron plasma frequency. A plurality of electrodes disposed within the chamber. Each of the electrodes configured to create a rapidly-rising-electric-field pulse in a portion of the plasma contained in the chamber. Each of said rapidly-rising-electric-field pulses having a rise time substantially equal to or less than the inverse of the electron plasma frequency and a duration of less than the inverse of the ion plasma frequency. In this manner, the electron energy distribution in the generated plasma may be spatially and locally modified thereby affecting the density, composition and temperature of the species in the plasma and consequently the uniformity of the density and composition of ions and neutrals directed at a target substrate.
    Type: Application
    Filed: July 2, 2010
    Publication date: January 5, 2012
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Rajesh Dorai, Kamal Hadidi, Mayur Jagtap
  • Publication number: 20120000610
    Abstract: In accordance with example embodiments, a plasma processing apparatus includes a chamber configured to perform a plasma process, an upper plate on the chamber, an antenna under the upper plate and the antenna is configured to generate plasma in the chamber, an upper insulator between the upper plate and the antenna and the upper insulator covers a top of the antenna, a lower insulator covering a bottom of the antenna, an antenna support ring configured to fix the antenna to the upper plate, and a metal gasket adhered to the antenna support ring.
    Type: Application
    Filed: July 1, 2011
    Publication date: January 5, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jin Hyuk Choi, Sang Chul Han, Jong Il Kee, Young dong Lee, Guen Suk Lee, Seung Hun Oh
  • Publication number: 20110318934
    Abstract: A substrate processing apparatus includes a chamber accommodating a wafer, a susceptor disposed inside the chamber and on which the wafer is held, an upper electrode facing the susceptor, and a second high frequency power source connected to the susceptor, wherein the upper electrode is electrically connected to a ground and is moveable with respect to the susceptor. The substrate processing apparatus divides a potential difference between plasma generated in a processing space and the ground into a potential difference between the plasma and a dielectric and a potential difference between the dielectric and the ground by burying the dielectric in the upper electrode, and changes a gap between the upper electrode and the susceptor.
    Type: Application
    Filed: June 23, 2011
    Publication date: December 29, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuhiro WADA, Makoto KOBAYASHI, Hiroshi TSUJIMOTO, Jun TAMURA, Mamoru NAOI, Jun OYABU
  • Patent number: 8084375
    Abstract: A hot edge ring with extended lifetime comprises an annular body having a sloped upper surface. The hot edge ring includes a step underlying an outer edge of a semiconductor substrate supported in a plasma processing chamber wherein plasma is used to process the substrate. The step includes a vertical surface which surrounds the outer edge of the substrate and the sloped upper surface extends upwardly and outwardly from the upper periphery of the vertical surface.
    Type: Grant
    Filed: November 2, 2010
    Date of Patent: December 27, 2011
    Assignee: Lam Research Corporation
    Inventors: Akira Koshiishi, Sathya Mani, Gautam Bhattacharyya, Gregory R. Bettencourt, Sandy Chao
  • Patent number: 8080760
    Abstract: A plasma processing chamber includes a cantilever assembly configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: December 20, 2011
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric H. Lenz, Andy W. DeSepte, Lumin Li
  • Patent number: 8075728
    Abstract: A flow equalizer plate is provided for use in a substrate process chamber. The flow equalizer plate has an annular shape with a flow obstructing inner region, and a perforated outer region that permits the passage of a processing gas, but retains specific elements in the processing gas, such as active radicals or ions. The inner and outer regions have varying radial widths so as to balance a flow of processing gas over a surface of a substrate. In certain embodiments, the flow equalizer plate may be utilized to correct chamber flow asymmetries due to a lateral offset of an exhaust port relative to a center line of a substrate support between the process volume and the exhaust port.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ajit Balakrishna, Shahid Rauf, Andrew Nguyen, Michael D. Willwerth, Valentin N. Todorow
  • Patent number: 8075791
    Abstract: A chemical treatment apparatus and a method for performing a chemical treatment of a wafer, etc., by supplying a chemical via a cell. The apparatus includes a cylindrical inner cell and a cylindrical outer cell with open ends disposed at an outer circumference of the inner cell. The outer cell is axially movable to vary the width of a slit formed between a bottom end of the outer cell and a top surface of the substrate-holding means by the axial movement, thereby adjusting the discharge rate of the chemical and varying the pressure of the chemical.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: December 13, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yoshiaki Tomari
  • Publication number: 20110299164
    Abstract: The invention relates to a method for the production of a structured object, particularly an optical clement having a structure on an optically effective non-planar surface, preferably for structuring a non-planar surface of an object, and to objects produced according to the method. which comprises providing a base body, particularly having at least one non-planar surface, producing a structure, particularly on the at least one non-planar surface of the object, which comprises structuring a sacrificial layer, transferring the structure from the sacrificial layer onto a surface, wherein the surface is a surface of the base body, particularly a non-planar surface of the base body, or a surface on at least one further body which can be attached to the base body, wherein the thickness of the sacrificial layer can be at least reduced or changed during the transferring of the structure of the sacrificial layer onto the surface, thus structuring the surface.
    Type: Application
    Filed: August 13, 2009
    Publication date: December 8, 2011
    Applicant: SCHOTT AG
    Inventors: Ralf Biertumpeel, Volker Wittmer, Charles Bernheim
  • Publication number: 20110297321
    Abstract: An object is to provide a substrate support stage of a plasma processing apparatus, in which electrical discharge from a connection terminal is prevented with a simple structure. In the substrate support stage of a plasma processing apparatus, an electrostatic attraction plate (13) configured to electrostatically attract a substrate (W) and to apply a bias to the substrate (W) is provided on an upper surface of a support stage (10) in a vacuum chamber, a sealing member (12) is provided on the upper surface of the support stage (10), an outer periphery side of the sealing member (12) is hermetically sealed as the vacuum chamber, and a connection terminal (17) commonly used for electrostatic attraction voltage supply and bias power supply is disposed on an atmosphere side which is an inner periphery side of the sealing member (12).
    Type: Application
    Filed: October 15, 2009
    Publication date: December 8, 2011
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Ryuichi Matsuda, Akihiko Matsukura, Kenjiro Uemitsu, Hisashi Yanagida
  • Publication number: 20110284497
    Abstract: A plasma processing apparatus includes a discharge window made of a dielectric material, a discharge chamber which is grounded and includes an opening formed at its one end and the discharge window provided at its other end facing the opening, a gas supply system which supplies a gas into the discharge chamber, a high-frequency power application mechanism which applies a high-frequency power to the gas to generate a plasma inside the discharge chamber, a substrate holder which can hold a substrate while facing the discharge window on the outer side of the discharge chamber, a shielding member which partially shields the plasma that impinges on the substrate, and a supporting member which supports the shielding member. The supporting member is grounded and fixed on the shielding member at a position which is farther from the substrate than the shielding member and different from that of the discharge window.
    Type: Application
    Filed: August 3, 2011
    Publication date: November 24, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Kazuto Yamanaka, Akio Sato
  • Publication number: 20110277932
    Abstract: Methods and apparatus for cleaning electrostatic chucks in processing chambers are provided. The process comprises flowing a backside gas comprising a reactive agent into a zone in a process chamber, the zone defined by a space between a surface of an electrostatic chuck or of a cleaning station and a surface of a substrate. The surface of the electrostatic chuck is etched with the reactive agent to remove debris. An apparatus for cleaning an electrostatic chuck is also provided, the apparatus comprising: a process chamber; an elongate arm having a reach disposed through a wall of the process chamber; an electrostatic chuck attached to the elongate arm; a cleaning station located within the reach of the elongate arm; and a reactive gas source that is operatively connected to the cleaning station.
    Type: Application
    Filed: July 25, 2011
    Publication date: November 17, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Dean C. Jennings, Majeed Foad, Jonathan Simmons
  • Publication number: 20110277934
    Abstract: Apparatus for selectively depositing an epitaxial layer are provided herein. In some embodiments, an apparatus for processing a substrate may include a process chamber having a substrate support disposed therein; a deposition gas source coupled to the process chamber; an etching gas source coupled to the process chamber, the etching gas source including a hydrogen and halogen gas source and a germanium gas source; an energy control source to maintain the substrate at a temperature at up to 600 degrees Celsius; and an exhaust system coupled to the process chamber to control the pressure in the process chamber.
    Type: Application
    Filed: July 26, 2011
    Publication date: November 17, 2011
    Inventors: Zhiyuan Ye, Saurabh Chopra, Yihwan Kim
  • Patent number: 8058586
    Abstract: A plasma treatment apparatus that can perform an excellent plasma treatment on a portion of a work which is to be used for producing products or parts, while preventing undesirable occurrence of discharge at that portion reliably is provided. The plasma treatment apparatus performs a plasma treatment on a plate-shaped work having an usable region to be used for producing products or parts and an unusable region other than the usable region.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 15, 2011
    Assignee: Seiko Epson Corporation
    Inventor: Tatsumi Nishijima
  • Patent number: 8056503
    Abstract: An etching chamber 1 incorporates a focus ring 9 so as to surround a semiconductor wafer W provided on a lower electrode 4. The plasma processor is provided with an electric potential control DC power supply 33 to control the electric potential of this focus ring 9, and so constituted that the lower electrode 4 is supplied with a DC voltage of, e.g., ?400 to ?600 V to control the electric potential of the focus ring 9. This constitution prevents surface arcing from developing along the surface of a substrate to be processed.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: November 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akihiro Kikuchi, Satoshi Kayamori, Shinya Shima, Yuichiro Sakamoto, Kimihiro Higuchi, Kaoru Oohashi, Takehiro Ueda, Munehiro Shibuya, Tadashi Gondai
  • Publication number: 20110265951
    Abstract: Methods and apparatus for twin chamber processing systems are disclosed, and, in some embodiments, may include a first process chamber and a second process chamber having independent processing volumes and a plurality of shared resources between the first and second process chambers. In some embodiments, the shared resources include at least one of a shared vacuum pump, a shared gas panel, or a shared heat transfer source.
    Type: Application
    Filed: October 20, 2010
    Publication date: November 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: MING XU, ANDREW NGUYEN, EVANS LEE, JARED AHMAD LEE, JAMES P. CRUSE, CORIE LYNN COBB, MARTIN JEFF SALINAS, ANCHEL SHEYNER, EZRA ROBERT GOLD, JOHN W. LANE
  • Patent number: 8048228
    Abstract: A masking apparatus includes a mask base body and a mask plate. The mask base body includes at least one spacer plate, and a cavity in which an electronic component can be housed. The mask plate is disposed on an upper surface and/or a lower surface of the mask base body. The mask plate includes a film-forming opening with a shape corresponding to the shape of an external structural body to be formed on an outer surface of the component. The mask plate thus allows a film-forming operation to be selectively performed on the outer surface of the component through the film-forming opening. The cavity includes, in an inner surface thereof, a film-forming groove communicating with the film-forming opening so that the external structural body can be formed at once on an upper surface and/or a lower surface of, and also on a peripheral surface of the component.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: November 1, 2011
    Assignee: TDK Corporation
    Inventors: Hajime Kuwajima, Hitoshi Ohkubo
  • Patent number: 8043433
    Abstract: The present invention generally provides a high efficiency electrostatic chuck for holding a substrate in a processing volume. The high efficiency electrostatic chuck includes an electrode embedded within a high-purity, thermoplastic member. In particular, the high-purity, thermoplastic member may include a high-purity, polyaryletherketone having an extremely low level of metallic ions present therein. The high-purity, polyaryletherketone has excellent wear resistance, high temperature resistance, plasma resistance, corrosive chemical resistance, electrical stability, and strength as compared to polyimide films used in electrostatic chucks. The present invention also provides a simplified method of manufacturing the high efficiency electrostatic chuck.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: October 25, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Ashish Bhatnagar
  • Patent number: 8043472
    Abstract: A substrate processing apparatus that can reliably improve the efficiency of heat transfer between a focus ring and a mounting stage. A housing chamber with the interior thereof evacuated houses a substrate. The substrate is mounted on a mounting stage that is disposed in the housing chamber. An annular focus ring is mounted on the mounting stage such as to surround a peripheral portion of the mounted substrate. A heat transfer film is formed on a surface of the focus ring which contacts the mounting stage by printing processing.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: October 25, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masaaki Miyagawa, Eiichi Nishimura
  • Publication number: 20110253311
    Abstract: A substrate processing apparatus for performing a plasma process on a target substrate includes a process container configured to accommodate the target substrate. The apparatus includes a gas feed passage configured to supply a process gas into the process container and an exhaust passage configured to exhaust gas from inside the process container. The apparatus further includes a plasma generation mechanism configured to generate plasma of the process gas inside the process container and a metal component to be exposed to plasma inside the process container. The metal component is provided with a silicon film that coats at least a portion thereof to be exposed to plasma and to suffer an intense electric filed generated thereabout.
    Type: Application
    Filed: July 1, 2011
    Publication date: October 20, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Sunao MURAOKA, Jun Yamashita, Atsushi Ueda
  • Patent number: 8038837
    Abstract: A ring-shaped component for use in a plasma processing includes an inner ring-shaped member provided to surround an outer periphery of a substrate to be subjected to the plasma processing and an outer ring-shaped member provided to surround an outer periphery of the inner ring-shaped member. The outer ring-shaped member has a first surface facing a processing space side and a second surface facing an opposite side of the plasma generation side. The second surface has thereon one or more ring-shaped grooves.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: October 18, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Murakami, Nobuhiro Sato
  • Patent number: 8034177
    Abstract: An inner electrode for barrier film formation is an inner electrode for barrier film formation that is inserted inside a plastic container having an opening, supplies a medium gas to the inside of the plastic container, and supplies high frequency power to an outer electrode arranged outside the plastic container, thereby generating discharge plasma on the inner surface of the plastic container to form a barrier film on the inner surface of the plastic container, and that is provided with a gas supply pipe (101) having a gas flow path (101a) to supply a medium gas (G) and an insulating member (103) screwed into an end portion of the gas supply pipe (101) to be flush therewith and having a gas outlet (102) communicated with the gas flow path (101a).
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: October 11, 2011
    Assignee: Mitsubishi Heavy Industries Food & Packaging Machinery Co., Ltd.
    Inventors: Seiji Goto, Hideo Yamakoshi, Atsushi Ueda, Kenichi Okamoto, Yuji Asahara, Minoru Danno
  • Publication number: 20110240598
    Abstract: A plasma processing apparatus 11 includes a reactant gas supply unit 13 for supplying a reactant gas for a plasma process into a processing chamber 12. The reactant gas supply unit 13 includes a first reactant gas supply unit 61 provided at a center of a dielectric plate 16 and configured to supply the reactant gas in a directly downward direction toward a central region of a processing target substrate W held on a holding table 14; and a second reactant gas supply unit 62 provided at a position directly above the holding table 14 but not directly above the processing target substrate W held on the holding table 14 and configured to supply the reactant gas toward a center of the processing target substrate W held on the holding table 14.
    Type: Application
    Filed: August 25, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki Okayama, Naoki Matsumoto
  • Publication number: 20110232847
    Abstract: Provided is a doped quartz glass member for plasma etching, which is used in a plasma etching process and is free from any problematic fluoride accumulation during use. The quartz glass member for plasma etching is used as a jig for semiconductor production in a plasma etching process, and includes at least two or more kinds of metal elements in a total amount of 0.01 wt % or more to less than 0.1 wt %, in which the metal elements are formed of at least one kind of a first metal element selected from metal elements belonging to Group 3B of the periodic table and at least one kind of a second metal element selected from the group consisting of Mg, Ca, Sr, Ba, Sc, Y, Ti, Zr, Hf, lanthanoids, and actinoids.
    Type: Application
    Filed: July 24, 2008
    Publication date: September 29, 2011
    Applicants: HERAEUS QUARZGLAS GMBH & CO. KG, SHIN-ETSU QUARTZ PRODUCTS CO., LTD.
    Inventors: Tatsuhiro Sato, Kyoichi Inaki
  • Publication number: 20110226419
    Abstract: Disclosed herein is a semiconductor manufacturing apparatus including a transfer chamber provided with a substrate moving device to move substrates, a load lock chamber to align the substrates and to load and unload the substrates into and out of the transfer chamber, and at least one process chamber to process the substrates transferred from the transfer chambers. Each of the at least one process chamber includes a chamber body provided with a substrate entrance formed on a side surface thereof, a substrate support provided within the chamber body such that at least two substrates are disposed on the substrate support, and at least one divider provided within the chamber body to align the at least two substrates.
    Type: Application
    Filed: March 16, 2011
    Publication date: September 22, 2011
    Inventors: Yong Hyun Lee, Myung Jin Lee, An Ki Cha
  • Patent number: 8021968
    Abstract: Provided is a susceptor 13 for manufacturing an epitaxial wafer, comprising a mesh-like groove 13b on a mount face on which a silicon substrate W is to be mounted, wherein a coating H of silicon carbide is formed on the mount face, and the coating has a surface roughness of 1 ?m or more in centerline average roughness Ra and a maximum height of a protrusion 13p generated in forming the coating H of 5 ?m or less. Thus, defects such as warping and slip as well as adhesion of the silicon substrate to the susceptor are prevented.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: September 20, 2011
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Tsuyoshi Nishizawa, Yoshio Hagiwara, Hideki Hariya
  • Patent number: 8021487
    Abstract: A wafer carrier for a rotating disc CVD reactor includes a unitary plate of a ceramic such as silicon carbide defining wafer-holding features such as pockets on its upstream surface and also includes a hub removably mounted to the plate in a central region of the plate. The hub provides a secure connection to the spindle of the reactor without imposing concentrated stresses on the ceramic plate. The hub can be removed during cleaning of the plate.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: September 20, 2011
    Assignee: Veeco Instruments Inc.
    Inventors: Vadim Boguslavskiy, Alexander I. Gurary
  • Patent number: 8021513
    Abstract: A substrate processing apparatus, adapted to provide a process, such as etching, to a substrate, includes a processing vessel, an ambient atmospheric carrying chamber, a carrying member for carrying the substrate, and a functional module located on a carrying route of the substrate. A first air stream is provided in the ambient atmospheric carrying chamber, and a second air stream is provided in the functional module so as to create a stream of air directed toward the ambient atmospheric carrying chamber from the functional module so as to prevent by-products of the process provided to the substrate from entering the functional module.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: September 20, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Shinji Wakabayashi
  • Patent number: 8016975
    Abstract: An etching system. An etching chamber includes an exhaust port. A gas input pipe is connected to the etching chamber, inputting etching process gas thereinto. A top RF electrode is disposed above the etching chamber. A bottom RF electrode is disposed under the etching chamber and opposite the top RF electrode. The etching process gas is transformed into plasma by operation of the top and bottom RF electrodes. An exhaust pump is connected to the exhaust port, expelling the plasma from the etching chamber. A base is disposed in the etching chamber. A focus ring is disposed on the base, accommodating a wafer. The wafer is etched by the plasma. A barricade is disposed on the focus ring and corresponds to the exhaust port, regulating the plasma flowing through the wafer.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: September 13, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tu-Yen Huang, Yi-Hong Chen, Ta Chin Lee, Shang-Sheng Wu, Chiun-Tong Su
  • Publication number: 20110214687
    Abstract: A device for cleaning a bevel edge of a semiconductor substrate. The device includes: a lower support having a cylindrical top portion; a lower plasma-exclusion-zone (PEZ) ring surrounding the outer edge of the top portion and adapted to support the substrate; an upper dielectric component opposing the lower support and having a cylindrical bottom portion; an upper PEZ ring surrounding the outer edge of the bottom portion and opposing the lower PEZ ring; and at least one radiofrequency (RF) power source operative to energize process gas into plasma in an annular space defined by the upper and lower PEZ rings, wherein the annular space encloses the bevel edge.
    Type: Application
    Filed: April 6, 2011
    Publication date: September 8, 2011
    Applicant: Lam Research Corporation
    Inventors: Andrew D. Bailey, III, Alan M. Schoepp, Gregory Sexton, Yunsang Kim, William S. Kennedy
  • Patent number: 8007591
    Abstract: A substrate holder (20) for supporting a substrate (30). A heating component (50) is positioned adjacent to a supporting surface and between the supporting surface and a cooling component (60). A fluid gap is positioned between the cooling component and the heating component, the fluid gap configured to receive a fluid to increase thermal conduction between the cooling component and the heating component. A brazing material is disposed between the cooling component and the heating component, the brazing material disposed adjacent to the fluid gap.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Thomas Hamelin
  • Publication number: 20110203736
    Abstract: A surface activation device comprises a holding compartment, a nozzle support, and a sealing assembly. The holding compartment defines a receiving chamber and defining a plurality of recesses for holding workpieces therein. The nozzle support is rotatably received in the receiving chamber and comprises an outer barrel, an inner barrel is received in the outer barrel, and at least one ultraviolet (UV) lamp is embedded in the outer barrel. The outer barrel and the inner barrel cooperatively define a first chamber therebetween, and the inner barrel defines a second chamber therein. The sealing assembly seals the first chamber and the second chamber, and comprises at least one first inlet tube communicated with the first chamber and at least one second inlet tube communicated with the second chamber.
    Type: Application
    Filed: May 31, 2010
    Publication date: August 25, 2011
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: SHAO-KAI PEI
  • Patent number: 8002947
    Abstract: A plasma treatment apparatus has a reaction vessel (11) provided with a top electrode (13) and a bottom electrode (14), and the first electrode is supplied with a VHF band high frequency power from a VHF band high frequency power source (32), while the bottom electrode on which a substrate (12) is loaded and is moved by a vertical movement mechanism. The plasma treatment system has a controller (36) which, at the time of a cleaning process after forming a film on the substrate (12), controls a vertical movement mechanism to move the bottom electrode to narrow the gap between the top electrode and bottom electrode and form a narrow space and starts cleaning by a predetermined high density plasma in that narrow space. In the cleaning process, step cleaning is performed. Due to this, the efficiency of utilization of the cleaning gas is increased, the amount of exhaust gas is cut, and the cleaning speed is raised. Further, the amount of the process gas used is cut and the process cost is reduced.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: August 23, 2011
    Assignees: Sanyo Electric Co., Ltd., Renesas Electronics Corporation, Ulvac, Inc., Hitachi Kokusai Electric, Inc., Tokyo Electron Limited, Kanto Denka Kogyo Co., Ltd., Canon Anelva Corproation, Panasonic Corporation
    Inventors: Yoichiro Numasawa, Yoshimi Watabe
  • Patent number: 8002946
    Abstract: A plasma reactor for etching a workpiece such as a rectangular or square mask, includes a vacuum chamber having a ceiling and a sidewall and a workpiece support pedestal within the chamber including a cathode having a surface for supporting a workpiece, the surface comprising plural respective zones, the respective zones of the surface being formed of respective materials of different electrical characteristics. The zones can be arranged concentrically relative to an axis of symmetry of the wafer support pedestal.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: August 23, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Richard Lewington, Michael N. Grimbergen, Khiem K. Nguyen, Darin Bivens, Madhavi R. Chandrachood, Ajay Kumar
  • Publication number: 20110192540
    Abstract: An object of the present invention is to suppress damage of an electrostatic chuck, by controlling stress exerted on each part of a table, which includes an electrically conductive material, i.e., an electrode for generating plasma, a dielectric layer for enhancing the in-plane uniformity of a plasma process, and an electrostatic chuck.
    Type: Application
    Filed: February 22, 2011
    Publication date: August 11, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masakazu Higuma, Shinji Himori, Shoichiro Matsuyama, Atsushi Matsuura
  • Patent number: 7993456
    Abstract: The present invention relates to a device for carrying out a surface treatment of substrates under vacuum, which comprises a housing (1) comprising chambers (2-5) communicate with a vacuum source, at least one of which chambers serves as vacuum lock to the remaining chambers when surface treatment processes are in progress. The housing (1) is divided into an upper and a lower housing half (6, 7) of which at least one has symmetrically distributed recesses (8). Pivotally mounted between the housing halves (6, 7) is a revolver (9), which comprises recesses (10) in which substrate to be treated is placed. The housing halves (6, 7) are designed to be in two positions, in the first of which they are separated from the revolver (9) and in the second of which they are in contact therewith. In the first position the revolver (9) is designed to be turned to predefined rotational positions at which recesses in the housing halves (6, 7) and the revolver (9) coincide in the chambers (2-5).
    Type: Grant
    Filed: November 11, 2003
    Date of Patent: August 9, 2011
    Assignee: Impact Coatings AB
    Inventors: Henrik Ljungcrantz, Torsten Rosell
  • Patent number: 7993462
    Abstract: A substrate-supporting device has a top surface for placing a substrate thereon composed of a plurality of surfaces separated from each other and defined by a continuous concavity being in gas communication with at least one through-hole passing through the substrate-supporting device in its thickness direction. The continuous concavity is adapted to allow gas to flow in the continuous concavity and through the through-hole under a substrate placed on the top surface.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: August 9, 2011
    Assignee: ASM Japan K.K.
    Inventor: Satoshi Takahashi
  • Patent number: 7993460
    Abstract: A substrate support useful for a plasma processing apparatus includes a metallic heat transfer member and an overlying electrostatic chuck having a substrate support surface. The heat transfer member includes one or more passage through which a liquid is circulated to heat and/or cool the heat transfer member. The heat transfer member has a low thermal mass and can be rapidly heated and/or cooled to a desired temperature by the liquid, so as to rapidly change the substrate temperature during plasma processing.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: August 9, 2011
    Assignee: Lam Research Corporation
    Inventor: Robert J. Steger
  • Patent number: 7988817
    Abstract: A lift pin driving device and a flat panel display (FPD) manufacturing apparatus having the device are provided. The lift pin driving device can precisely move a plurality of lift pins using one motor, thus realizing a simple lift pin driving structure and a simple motor control structure. This allows a space below a chamber body of the manufacturing apparatus to be configured in a variety of ways, thus reducing the cost of equipment and the production cost of products.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: August 2, 2011
    Assignee: ADP Engineering Co., Ltd.
    Inventor: Hyoung Kyu Son
  • Patent number: 7988816
    Abstract: A plasma etching apparatus includes an upper electrode and a lower electrode, between which plasma of a process gas is generated to perform plasma etching on a wafer W. The apparatus further comprises a cooling ring disposed around the wafer, a correction ring disposed around the cooling ring, and a variable DC power supply directly connected to the correction ring, the DC voltage being preset to provide the correction ring with a negative bias, relative to ground potential, for attracting ions in the plasma and to increase temperature of the correction ring to compensate for a decrease in temperature of a space near the edge of the target substrate due to the cooling ring.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Akira Koshiishi, Masaru Sugimoto, Kunihiko Hinata, Noriyuki Kobayashi, Chishio Koshimizu, Ryuji Ohtani, Kazuo Kibi, Masashi Saito, Naoki Matsumoto, Yoshinobu Ooya, Manabu Iwata, Daisuke Yano, Yohei Yamazawa, Hidetoshi Hanaoka, Toshihiro Hayami, Hiroki Yamazaki, Manabu Sato
  • Publication number: 20110180388
    Abstract: [Object] To provide a plasma processing method and a plasma processing apparatus having high coverage property and excellent in-plane uniformity. [Solving Means] When sputtered particles that are beat out from a target by plasma are deposited on a surface of a substrate, those sputtered particles are decomposed by the plasma to thus generate active species, and then deposited on the surface of the substrate. Accordingly, a deposition mode similar to plasma CVD is obtained, and sputtering deposition with high coverage property and excellent in-plane uniformity is enabled. Particularly, since a high-frequency electric field and a ring-shaped magnetic neutral line are used for a plasma source, it is possible to efficiently generate plasma that has extremely high density in a region in which a magnetic field is zero. That plasma realizes plasma processing with high in-plane uniformity by arbitrarily adjusting a formation position and a size of the magnetic neutral line.
    Type: Application
    Filed: August 5, 2008
    Publication date: July 28, 2011
    Applicant: ULVAC, INC.
    Inventors: Yasuhiro Morikawa, Koukou Suu