Multi-chamber (e.g., Including Air Lock, Load/unload Chamber, Etc.) Patents (Class 204/298.25)
  • Patent number: 7198699
    Abstract: A coating apparatus deposits a first coating (single or multi-layered) onto a first side of a substrate (e.g., glass substrate) passing through the apparatus, and a second coating (single or multi-layered) onto the other or second side of the substrate. In certain example embodiments, the first coating may be deposited via sputtering while the second coating is deposited via ion beam deposition. In such a manner, it is possible to coat both sides of the substrate in a single apparatus in an efficient manner. In other embodiments, the coating apparatus may sputter a coating onto a first side of the substrate and ion beam mill at least one surface of the substrate as the substrate passes through the coating apparatus. In other embodiments of this invention, a dual mode chamber may be provided that is adapted to receive a removable ion beam module on one side of a substrate and a removable sputtering module on the other side of the substrate.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: April 3, 2007
    Assignee: Guardian Industries Corp.
    Inventors: Scott V. Thomsen, Hugh A. Walton
  • Patent number: 7163608
    Abstract: Systems and methods are described for the synthesis of films, coatings or layers. An apparatus includes a first holder; a second holder coupled to the first holder; a linkage coupled to the first holder and the second holder to move the first holder relative to the second holder; a reusable tool coupled to the first holder, the reusable tool including a raised patterned surface; and a release layer coupled to the raised patterned surface of the reusable tool.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: January 16, 2007
    Assignee: HelioVolt Corporation
    Inventor: Billy J. Stanbery
  • Patent number: 7153367
    Abstract: The invention relates to a drive mechanism for a vacuum treatment apparatus by which substrate holders can be transported around an axis (A—A) from an entrance airlock to an exit airlock. A stationary supporting column (1) is disposed in the center and on it a rotatory drive chamber (6) is borne which has control rods (9) for a rotation and a radial displacement of the substrate holders. In the rotatory drive chamber (6), a motor (4) and rotatory displacement drives for the control rods (9) are arranged on the supporting column (1), the control rods being in active connection each with a corresponding substrate holder.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: December 26, 2006
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Ralph Lindenberg, Michael Konig, Uwe Schussler, Stefan Bangert
  • Patent number: 7090741
    Abstract: A semiconductor processing system includes a common transfer chamber (34) having first and second compartments (46, 48) partitioned by a partition wall (44). First and second vacuum processing apparatuses (32E, 32A) are respectively connected to the first and second compartments (46, 48). A pressure control section (PCS) controls the pressures inside the first and second compartments (46, 48). The pressure control section (PCS) includes first and second vacuum pumps (68, 70) respectively connected to the first and second compartments (46, 48), and a line (76) connecting the delivery side of the second vacuum pump (70) to the suction side of the first vacuum pump (68). The pressure control section (PCS) performs a setting such that a second ultimate pressure or lowest operational pressure of the second compartment (48) is lower than a first ultimate pressure or lowest operational pressure of the first compartment (46).
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: August 15, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Narushima, Hiroaki Saeki
  • Patent number: 7039501
    Abstract: Generally, a method of determining a position of a robot is provided. In one embodiment, a method of determining a position of a robot comprises acquiring a first set of positional metrics, acquiring a second set of positional metrics and resolving the position of the robot due to thermal expansion using the first set and the second set of positional metrics. Acquiring the first and second set of positional metrics may occur at the same location within a processing system, or may occur at different locations. For example, in another embodiment, the method may comprise acquiring a first set of positional metrics at a first location proximate a processing chamber and acquiring a second set of positional metrics in another location. In another embodiment, substrate center information is corrected using the determined position of the robot.
    Type: Grant
    Filed: April 3, 2003
    Date of Patent: May 2, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Marvin L. Freeman, Jeffrey C. Hudgens, Damon Keith Cox, Chris Holt Pencis, Michael Rice, David A. Van Gogh
  • Patent number: 7032614
    Abstract: A facilities connection box is provided to accommodate pre-plumbing of facilities lines required in connection with an installation of semiconductor device manufacturing equipment. The facilities connection box accommodates termination of double-containment facilities lines that are adapted to carry hazardous materials required for operation of the semiconductor device manufacturing equipment. Each double-containment facilities line is terminated in an isolation compartment of the facilities connection box. Each isolation compartment has an entry port adapted to couple to an incoming double-containment line and an exit port adapted to permit egress from the isolation compartment of a respective outgoing line.
    Type: Grant
    Filed: January 2, 2003
    Date of Patent: April 25, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Alan Rick Lappen, Ronald V. Schauer
  • Patent number: 7033471
    Abstract: A Vacuum transport chamber for disk-shaped substrates, has a base plate structure has an interior surface which borders an interior of the chamber on one side thereof. A covering structure is situated parallel and opposite an interior surface of the base plate structure. The structure has at least two substrate passage openings which are adapted to a substrate disk surface. A transport device which is rotationally drivingly movable about a rotation axis perpendicular to the base plate structure. At least one substrate receiving device is brought into alignment with a respective one of the openings. A controlled sealing arrangement establishes an edge of at least one of the openings with the substrate holding device brought into alignment therewith and a substrate provided thereon.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: April 25, 2006
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventors: Martin Dubs, Roman Schertler
  • Patent number: 7030401
    Abstract: A substrate measurement system including a measurement chamber and a substrate handling chamber possessing a substrate transfer and a substrate container interface arranged to receive a substrate to container. The handling chamber contains a first interface to connect the measurement chamber and the measurement chamber contains a second interface to connect the handling chamber. The transfer means is arranged to transfer substrates between the container and the measurement chamber through the handling chamber, in which system a second measurement chamber is provided, having the same second interface as the first measurement chamber to replace latter chamber.
    Type: Grant
    Filed: April 12, 2001
    Date of Patent: April 18, 2006
    Assignees: Nanophotonics AG, Recif SA
    Inventors: Michael Abraham, Ivo J M M Raaijmakers, Alain Gaudon, Pierre Astegno
  • Patent number: 7018517
    Abstract: A transfer chamber for a substrate processing tool includes a main body having side walls adapted to couple to at least one processing chamber and at least one load lock chamber. The main body houses at least a portion of a robot adapted to transport a substrate between the processing chamber and the load lock chamber. A lid couples to and seals a top of the main body of the transfer chamber. The transfer chamber also has a domed bottom adapted to couple to and to seal a bottom portion of the main body of the transfer chamber.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: March 28, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer, Hung T. Nguyen, Wendell T. Blonigan
  • Patent number: 6964731
    Abstract: A glass article which has a water-sheeting coating and a method of applying coatings to opposed sides of a substrate are described. In one embodiment, a glass sheet is provided bearing a sputtered water-sheeting coating comprising silica on an exterior surface and bearing a reflective coating on an interior surface. The interior surface of a sheet of glass can be coated with a reflective coating by sputtering, in sequence, at least one dielectric layer, at least one metal layer, and at least one dielectric layer. The exterior surface of the glass can be coated with a water-sheeting coating by sputtering silica directly onto the exterior surface of the sheet of glass. Both the reflective coating and the water-sheeting coating can be applied during the same pass through the same sputter coating apparatus.
    Type: Grant
    Filed: February 2, 1999
    Date of Patent: November 15, 2005
    Assignee: Cardinal CG Company
    Inventors: Annette J. Krisko, Bob Bond, Roger Stanek, Gary Pfaff, Klaus Hartig
  • Patent number: 6949173
    Abstract: A continuous coating system has several treatment chambers (1, 2) that are arranged one after the other in such a way that in each case, a wall (3) of one treatment chamber (1) having a passage (5) contacts a wall (4) in the adjacent treatment chamber (2) that also has a passage (6). One of the passages (5) is provided in a sealing insert (7), which supports itself on the same side with a first support surface (9) on the wall (3) of one treatment chamber (1) and with a second support surface (10) against the wall (4) of the adjacent treatment chamber (2). Between the two support surfaces (9, 10) and the respective walls (3, 4), in each case there is a surrounding gasket (13, 14) against the respective support surface (9, 10) and the wall (3, 4).
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: September 27, 2005
    Assignee: Applied Films GmbH & Co. KG
    Inventor: Andreas Sauer
  • Patent number: 6942768
    Abstract: A system for coating band-shaped material, where the band-shaped material travels through at least one process chamber in which there is a vacuum, and at least one cooling roller. On the peripheral surface of each cooling roller are at least two magnetron sputter sources that are arranged separate from one another in magnetron chambers, which are formed by separate magnetron chamber walls and allow each chamber to be evacuated, so the pressure in the magnetron chamber can be maintained higher than that in the process chamber. The magnetron chamber walls and the magnetron sputter sources can be mounted on a common carriage, which is displaceable parallel to the cooling roller axis. The result is the reduction in the maintenance costs in cleaning of the magnetron chamber walls and at the same time improvement of the separation of gas between the magnetron chambers and the process chamber.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: September 13, 2005
    Assignee: Von Ardenne Anlagentechnik GmbH
    Inventors: Wolfgang Erbkamm, Hans-Christian Hecht, Michael Hofmann, Falk Milde
  • Patent number: 6919001
    Abstract: There is described a disk processing and manufacturing equipment in which the processing chambers are stacked on top of each other and in which the disks move through the system on disk carriers which are adjustable to take disks of varying sizes. The disks enter the system through a load zone and are then installed into disk carriers. They move in the carriers sequentially through processing chambers at one level and then move to the other level in a lift or elevator. At this other level, the disks again move sequentially through the system on that level and then exit at an unload zone.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: July 19, 2005
    Assignee: Intevac, Inc.
    Inventors: Kevin P. Fairbairn, Terry Bluck, Craig Marion, Robert E. Weiss
  • Patent number: 6905582
    Abstract: An exemplary configurable vacuum system is provided for use in coating or plating that provides the capability and versatility to handle substrates of significantly different shapes and sizes. The configurable vacuum system includes a vacuum table assembly, a mechanical drive, an electrical feed through, a filament, and a vacuum chamber. The vacuum table assembly may include a support frame, a sliding means, such as a roller or rollers, an insulated surface, and a platform operable to rotate and support the substrate. The mechanical drive is operable to rotate the platform, the electrical feed through provides an electrical signal to the substrate, and the filament is positioned relative the substrate. The vacuum chamber includes a main opening, an internal volume, and a receiving means, such as a railing or member, operable to receive and support the vacuum table assembly within the internal volume of the vacuum chamber and through the sliding means of the vacuum table assembly.
    Type: Grant
    Filed: February 17, 2003
    Date of Patent: June 14, 2005
    Assignee: Basic Resources, Inc.
    Inventors: Jerry D. Kidd, Craig D. Harrington, Daniel N. Hopkins
  • Patent number: 6905578
    Abstract: An apparatus and method for depositing plural layers of materials on a substrate within a single vacuum chamber allows high-throughput deposition of structures such as these for GMR and MRAM application. An indexing mechanism aligns a substrate with each of plural targets according to the sequence of the layers in the structure. Each target deposits material using a static physical-vapor deposition technique. A shutter can be interposed between a target and a substrate to block the deposition process for improved deposition control. The shutter can also preclean a target or the substrate and can also be used for mechanical chopping of the deposition process. In alternative embodiments, plural substrates may be aligned sequentially with plural targets to allow simultaneous deposition of plural structures within the single vacuum chamber.
    Type: Grant
    Filed: April 27, 1998
    Date of Patent: June 14, 2005
    Assignee: CVC Products, Inc.
    Inventors: Mehrdad M. Moslehi, Cecil J. Davis, Christopher J. Mann, Dwain R. Jakubik, Ajit P. Paranjpe
  • Patent number: 6899799
    Abstract: Increased sidewall coverage by a sputtered material is achieved by generating an ionizing plasma in a relatively low pressure sputtering gas. By reducing the pressure of the sputtering gas, it is believed that the ionization rate of the deposition material passing through the plasma is correspondingly reduced which in turn is believed to increase the sidewall coverage by the underlayer. Although the ionization rate is decreased, sufficient bottom coverage of the by the material is maintained. In an alternative embodiment, increased sidewall coverage by the material may be achieved even in a high density plasma chamber by generating the high density plasma only during an initial portion of the material deposition. Once good bottom coverage has been achieved, the RF power to the coil generating the high density plasma may be turned off entirely and the remainder of the deposition conducted without the high density plasma.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: May 31, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Kenny King-Tai Ngan, Ying Yin Hui, Seshadri Ramaswami
  • Patent number: 6893544
    Abstract: An in-line sputtering system for depositing a thin film on a substrate includes a buffer heating module, an entry transfer module adjacent to the buffer heating module and having an expedited conveyor device for moving the substrate therein and a first sputtering module for depositing the thin film on the substrate, which is adjacent to the entry transfer module. The entry transfer module serves as a buffer zone which mitigates fluctuations in temperature and pressure in the first sputtering module when the substrate is unloaded from the buffer heating module. The substrate in the entry transfer module is moved by the expedited conveyor device at a speed greater than that in the first sputtering module.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: May 17, 2005
    Assignee: Samsung Corning Co., Ltd.
    Inventors: Hee Soo Song, Sung Wan Park
  • Patent number: 6884299
    Abstract: A deposition apparatus for organic light emitting devices. The deposition apparatus includes a substrate conveying system and at least a chamber. In this case, the substrate conveying system is a circular turntable shape. The chambers are provided around the substrate conveying system in a specific order. While the substrate conveying system rotates in a circular direction, at least a substrate is transferred into the chambers for deposition. The substrate carrier, a shadow mask and a shadow mask alignment system, are positioned on the substrate carrier. After the deposition, at least a layer is deposited on each of the substrates, so as to manufacture at least an organic light-emitting device.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: April 26, 2005
    Assignee: RiTdisplay Corporation
    Inventors: Yi Chang, Jih-Yi Wang, Mao-Kuo Wei, Tien-Rong Lu
  • Patent number: 6860965
    Abstract: In one embodiment, a wafer processing system has a loading station, a process module, and a load lock directly adjacent to the process module. The load lock has a small volume and can include integrated heating/cooling units. The load lock also has a wafer transfer mechanism for placing a wafer directly to the process module. The wafer processing system does not employ a transfer chamber to transport wafers between the load lock and the process module. Instead, a wafer is directly transferred from the load lock to the process module using the wafer transfer mechanism. Not requiring a transfer chamber not only improves the throughput of the wafer processing system, but also lowers its complexity and component count as well. The throughput of the wafer processing system is also improved by using a small volume load lock with integrated cooling/heating units.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: March 1, 2005
    Assignee: Novellus Systems, Inc.
    Inventor: Craig Lyle Stevens
  • Patent number: 6858085
    Abstract: An apparatus for sequential and isolated processing of a workpiece comprises a two compartment chamber and a mechanism to transfer the workpiece from one compartment to the other compartment. The transfer mechanism comprises two doors that seal the pathway between the two compartments between movement so that the two compartments are isolated and the workpiece can be processed sequentially and isolatedly in each compartment. The apparatus further comprises components to enable the processing of a workpiece: a delivery system to delivery precursor, a plasma source to generate a plasma and a vacuum pump to maintain a sub-atmospheric pressure. The preferred method of processing a workpiece is to deposit or adsorb a thin layer in the first compartment and then to transfer the workpiece to the second compartment for a reaction or a plasma reaction on the existing thin layer.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: February 22, 2005
    Assignee: Tegal Corporation
    Inventors: Tue Nguyen, Tai Dung Nguyen, Craig Alan Bercaw
  • Patent number: 6858119
    Abstract: An exemplary mobile plating system is provided for performing a plating process using virtually any known or available deposition technology for coating or plating as substrate. The mobile plating system may include a vacuum chamber positioned in a mobile storage volume, an external vacuum pump, and a control circuitry to control the operation of some or all of the operations of the external vacuum pump. The external vacuum pump is positioned in the mobile storage volume when the mobile plating system is in transit, and is positioned external to the mobile storage volume when the mobile plating system is stationary and in operation. The external vacuum pump may be mounted on a skid, and, in operation, the external vacuum pump couples with the vacuum chamber to assist with producing a desired pressure in the vacuum chamber.
    Type: Grant
    Filed: January 6, 2003
    Date of Patent: February 22, 2005
    Assignee: Basic Resources, Inc.
    Inventors: Jerry D. Kidd, Craig D. Harrington, Daniel N. Hopkins
  • Patent number: 6852194
    Abstract: Processing apparatus is disclosed, that comprises substrate container holding table that can hold substrate container that contains plurality of target substrates, first transferring chamber, disposed adjacent to the substrate container holding table, that maintains the interior at first pressure, first processing unit group, disposed around the first transferring chamber, that processes target substrate at the first pressure, first transferring mechanism, disposed in the first transferring chamber, that transfers target substrate, second transferring chamber, disposed adjacent to the first transferring chamber, that maintains the interior at second pressure, second processing unit group, disposed around the second transferring chamber, that processes target substrate at the second pressure, and second transferring mechanism, disposed in the second transferring chamber, wherein the first transferring mechanism and/or the second transferring mechanism has at least two transferring arms.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: February 8, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Minoru Matsushita, Yasushi Kodashima, Toshikazu Kumai
  • Patent number: 6846380
    Abstract: An apparatus and method for processing a microelectronic substrate comprises a main chamber and a movable boundary. The main chamber comprises a main chamber wall enclosing a main chamber interior. The movable boundary is disposed within the main chamber interior, and is movable between a first position and a second position. At the first position, the movable boundary at least partially defines a sub-chamber in which a substrate can be processed. The sub-chamber is fluidly isolated from the main chamber interior, and provides an environment suitable for a high-pressure processing of the substrate such as cleaning or surface preparation. The sub-chamber can be maintained at a high pressure while the main chamber is maintained at either a low pressure, an atmospheric pressure, or at a vacuum. The apparatus can be directly coupled to an external substrate handling and/or fabrication module, such that the main chamber interior provides a buffer between the sub-chamber and the external module.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: January 25, 2005
    Assignee: The BOC Group, Inc.
    Inventors: C. John Dickinson, Frank Jansen, Daimhin P. Murphy
  • Patent number: 6843883
    Abstract: A vacuum processing apparatus 50 is provided with a bypass line 52 for causing a vacuum transfer chamber 4 and a load-lock chamber 12 to communicate with each other, and a bypass opening and shutting valve 54 for opening and shutting the corresponding bypass line 52, wherein by opening the bypass opening and shutting valve 54, a pressure-reduced state at the vacuum transfer chamber 4 side can be shifted to the load-lock chamber 12 side, and the pressure reduction of the load-lock chamber 12 can be carried out in a short time.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: January 18, 2005
    Assignee: TDK Corporation
    Inventors: Masanori Kosuda, Yoshihisa Tamagawa, Hideki Ishizaki
  • Patent number: 6843892
    Abstract: An in-line, multi-station apparatus including an improved pallet for transporting a plurality of workpieces/substrates through the apparatus, the pallet comprising: (a) a sheet of electrically conductive material provided with a plurality of spaced-apart regions extending at least partway therethrough, each of the regions adapted to mount therein and expose at least one major surface of respective workpieces/substrates for receipt of the treatment; and (b) a plurality of electrical contact means for selectively and controllably electrically contacting respective ones of said plurality of workpieces/substrates for applying an electrical bias potential thereto during treatment. Embodiments include in-line apparatus for performing bias sputtering of electrically conductive thin films on insulative substrates in the manufacture of multi-layer magnetic and/or magneto-optical recording media.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: January 18, 2005
    Assignee: Seagate Technology LLC
    Inventor: Paul Stephen McLeod
  • Patent number: 6841048
    Abstract: Coating apparatus for disk-shaped workpieces has a transport chamber with a workpiece transport configuration having two linearly driven transport rams connected to a rotational axis. The rams are within shell lines of a rotation body about the axis and are extended/retracted in the same direction as the axis. A workpiece receiver is at the ends of each ram and two operating openings communicate the transport chamber with stations of the apparatus including a coating station. Surface normals of the openings are in the direction of shell lines. A pump with pump opening communicates with the transport chamber and coating station. At least one of the rams has a closure for closing the pump opening and forming a seal therefor.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: January 11, 2005
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Thomas Matt
  • Patent number: 6841049
    Abstract: In an optical disk substrate film-formation apparatus which prepared an optical disk by forming a thin film on a substrate, the optical disk substrate is held by a holder section. A contact support surface is provided to the holder section which closely contacts at least a portion of the surface of the optical disk substrate rear to the surface where the think film is formed.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: January 11, 2005
    Assignee: Ricoh Company, Ltd.
    Inventors: Kazunori Ito, Katsunari Hanaoka, Hiroshi Deguchi, Nobuaki Onagi, Hiroko Tashiro, Kiyoto Shibata, Yasutomo Aman, Hiroshi Miura, Wataru Ohtani, Hajime Yuzurihara, Masaru Shinkai
  • Patent number: 6841006
    Abstract: A substrate processing apparatus is disclosed. In one embodiment, the apparatus includes a first atmospheric deposition station and a second atmospheric deposition station. The second atmospheric deposition station comprises an atmospheric pressure vapor deposition chamber. A substrate handling system is adapted to transfer substrates between the first and the second atmospheric deposition stations.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: January 11, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Michael Barnes, Michael S. Cox, Canfeng Lai, John Parks
  • Patent number: 6833031
    Abstract: Apparatus and methods for coating a substrate. In an exemplary embodiment, the apparatus are used to create a metallized substrate for use as an EMI/RFI shield. The apparatus typically includes a movable processing apparatus that is movable orthogonal to the substrate to treat the substrate. The processing apparatus can include a surface preparation assembly, a heating assembly, a thermoforming assembly, a metallizing assembly, a cutting assembly, or the like.
    Type: Grant
    Filed: March 19, 2001
    Date of Patent: December 21, 2004
    Assignee: Wavezero, Inc.
    Inventor: Rocky R. Arnold
  • Patent number: 6830664
    Abstract: A cathode for a cluster tool in accordance with the present invention includes a base, a disc-shaped target mounted to the base and a magnetic source for establishing magnetic flux lines through the target. The target further comprises a top plate with a plurality of through holes; and a bottom plate with a plurality of bottom plate openings which interconnect distribution grooves formed in one surface with base face channels formed in the other surface. When the top plate is mated to the bottom plate, a path of fluid communication is established from the base face channels to the through holes to allow for inert gas to pass through the target. During operation, the through holes act as micro-cathodes to more efficiently cause material to be sputtered from the target. Each through hole defines a through hole axis, and the magnetic flux lines are parallel with the through holes axes.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: December 14, 2004
    Assignee: Tegal Corporation
    Inventor: Andrew P. Clarke
  • Patent number: 6827788
    Abstract: A substrate 9 is carried by a carry system in sequence, via a direction-altering chamber 8 to which a plurality of vacuum chambers comprising processing chambers 21 to 24 are hermetically-connected in the perimeter, to the plurality of processing chambers 21 to 24. The carry system carries the substrate 9 horizontally by moving, using a horizontal moving mechanism, a substrate holder 92 which holds two substrate 9 upright in such a way that the plate surface thereof forms a holding angle to the horizontal of between 45° or more and 90° or less. The direction-altering chamber 8 includes a direction altering mechanism 80 that alters the direction of movement by the horizontal movement mechanism. The direction altering mechanism 80 alters the direction of movement by rotating the substrate holder 92 and the horizontal movement mechanism about the vertical rotating axis coincident with the center axis of the direction-altering chamber 8.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: December 7, 2004
    Assignee: Anelva Corporation
    Inventor: Nobuyuki Takahashi
  • Patent number: 6827789
    Abstract: An apparatus for the treatment of semiconductor wafers, comprising a supportive frame and a process table arranged on the supportive frame. The process table comprises a stationary upper platen and a stationary lower plate. An intermediate indexing plate is rotatively arranged between the upper platen and the lower plate. At least one wafer support pin is attached to the indexing plate for the support of a wafer by the indexing plate. An upper housing is arranged on the upper platen and an outer lower housing is arranged on the lower plate. A displacable lower isolation chamber is disposed within the outer lower housing, being displacable against the indexing plate to define a treatment module between the upper housing and the lower isolation chamber in which the wafer is treated. A wafer supporting treatment plate is arranged within the lower isolation chamber, for controlled rapid treatment of a wafer within the treatment module.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: December 7, 2004
    Assignee: Semigear, Inc.
    Inventors: Chunghsin Lee, Jian Zhang, Darren M Simonelli, Keith D. Mullius, David A. Wassen
  • Patent number: 6826442
    Abstract: A stocker includes a first sealing member for stocking an object to be stocked, an atmosphere control device for controlling an internal atmosphere of the first sealing member to a first atmosphere of an inert gas, and a transfer device for transporting an object to be stocked to an exposure apparatus using an F2 excimer laser or receiving the object to be stocked from the exposure apparatus while the object to be stocked is shielded from an external atmosphere of the first sealing member.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: November 30, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventors: Seiji Takeuchi, Eiichi Murakami
  • Patent number: 6824617
    Abstract: An input/output valve switching apparatus of a semiconductor manufacturing system minimizes a vibration set up while operating an input/output valve for opening and closing a wafer-transfer passage that connects chambers of the system. The switching apparatus includes a valve actuator having a close port and an open port, a first fluid line connected to the close port, a second fluid line connected to the open port, first flow regulators installed in the first and second fluid lines, respectively, to regulate the flow rate of fluid, and second fluid flow regulators installed in the first and second fluid lines to regulate the flow rate of the fluid that has passed. The second fluid flow regulators can prevent a rapid introduction of the fluid into the actuator.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: November 30, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Sik Yang, Jin-Man Kim, Young-Min Min, Chang-Hyun Jo
  • Patent number: 6818108
    Abstract: A vacuum chamber for transporting at least one workpiece has two or more openings defining respective opening areas for treating or handling the at least one workpiece. A transport device is arranged relative to the openings and includes a drive shaft rotatable around a drive shaft rotational axis. Two or more conveyors transport at least one workpiece. A linear driver is operationally independent to linearly move respective ones of the two or more conveyors relative to the drive shaft, with a drive component in a radial direction relative to the axis. An obstructing member is provided for closing the openings when one of the conveyors is positioned adjacent to the openings by rotating the transport device and is moved by the linear drive towards the opening.
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: November 16, 2004
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6818068
    Abstract: Aconveyer for treating hollow bodies, comprising several identical treatment stations (12, 13) that process at least one hollow body, whereby the respective treatment station for a given treatment stage communicates with a pressure source via distribution means that include a tight revolving extention. The invention is characterized in that the machine includes at least two independent and equivalent pressure sources (A1, A2) for the given treatment stage. The invention is also characterized in that it is divided up into the same number of treatment stations (12, 13) as the number of pressure sources existing for the machine and in that the distribution device (18) are such that each pressure source (A1, A2) is associated with a specific group.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: November 16, 2004
    Assignee: Sidel
    Inventors: Alain Guiffant, Jean-Michel Rius
  • Patent number: 6808592
    Abstract: A system for the plasma treatment of parts. The system includes a chamber base sealingly engageable with a reaction chamber to form a treatment chamber and a lifting device operable to lift the reaction chamber from the chamber base. A transfer mechanism is operable to transfer parts along a guide to multiple treatment positions within the treatment chamber when the reaction chamber is disengaged from the chamber base. An electronic control system controls the transfer mechanism for transferring the plurality of parts to the treatment positions. The parts are treated with a plasma produced within the treatment chamber by a plasma-generating device.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: October 26, 2004
    Assignee: Nordson Corporation
    Inventors: Louis A. Rigali, David E. Hoffman, Keda Wang, William F. Smith, III
  • Patent number: 6800172
    Abstract: A semiconductor substrate processor includes a substrate transfer chamber and a plurality of substrate processing chambers connected therewith. An interfacial structure is received between at least one of the processing chambers and the transfer chamber. The interfacial structure includes a substantially non-metallic, thermally insulative mass of material interposed between the one processing chamber and the transfer chamber. The mass is of sufficient volume to effectively reduce heat transfer from the processing chamber to the transfer chamber than would otherwise occur in the absence of said mass of material. An interfacial structure includes a body having a substrate passageway extending therethrough. The passageway includes walls at least a portion of which are substantially metallic. The body includes material peripheral of the walls which is substantially non-metallic and thermally insulative. The substantially non-metallic material has mounting openings extending at least partially therein.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: October 5, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, Ross S. Dando, Allen P. Mardian, Kevin T. Hamer, Raynald B. Cantin, Philip H. Campbell, Kimberly R. Tschepen, Randy W. Mercil
  • Patent number: 6793735
    Abstract: A method and apparatus are provided for forming a silicide on a semiconductor substrate by integrating under a constant vacuum the processes of removing an oxide from a surface of a semiconductor substrate and depositing a metal on the cleaned surface without exposing the cleaned surface to air. The method and apparatus of the present invention eliminates the exposure of the cleaned substrate to air between the oxide removal and metal deposition steps. This in-situ cleaning of the silicon substrate prior to cobalt deposition provides a cleaner silicon substrate surface, resulting in enhanced formation of cobalt silicide when the cobalt layer is annealed.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: September 21, 2004
    Assignee: International Business Machines Corporation
    Inventors: Marc W. Cantell, Jerome B. Lasky, Ronald J. Line, William J. Murphy, Kirk D. Peterson, Prabhat Tiwari
  • Patent number: 6793766
    Abstract: Apparatus for processing multiple semiconductor wafers, includes a transfer chamber, a first processing chamber mounted in fixed relation to the transfer chamber and having a first wafer-holding platform with a center, a second processing chamber mounted in adjustable relation to the transfer chamber and to the first chamber and having a second wafer-holding platform with a center, and a robot rotatably mounted within the transfer chamber and having first and second wafer-holding arms spaced parallel to each other for inserting a pair of wafers simultaneously into the first and second chambers and for placing the wafers accurately centered over the respective platforms. The spacing of the platform centers is adjusted relative to the spacing of the robot arms such that the wafers are centered and placed with a preselected degree of accuracy onto the respective platforms for efficient processing of the wafers.
    Type: Grant
    Filed: January 4, 2001
    Date of Patent: September 21, 2004
    Assignee: Applied Materials Inc.
    Inventors: Eric W. Schieve, Lawrence Chung-Lai Lei
  • Publication number: 20040180144
    Abstract: A method is disclosed to effectively achieve a low deposition temperature of CMO memory materials by depositing the CMO memory material at relatively low temperatures that give an amorphous film, then to later melt and re-crystallize the CMO memory material with a laser (laser annealing).
    Type: Application
    Filed: March 13, 2003
    Publication date: September 16, 2004
    Inventors: Makoto Nagashima, Darrell Rinerson, Steve K. Hsia
  • Patent number: 6790286
    Abstract: Substrate processing parts are stacked and arranged in a multistage manner around a transport robot arranged at the center of a processing area. Rotary application units are arranged on a second layer through an indexer and the transport robot. Rotary developing units are stacked above the rotary application units respectively on a fourth layer located above the second layer. Multistage thermal processing units and an edge exposure unit are horizontally arranged in line above the indexer. In place of the processing units, inspection units performing a macro defect inspection and pattern line width measurement may be arranged in the upside region of the indexer space.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: September 14, 2004
    Assignee: Dainippon Screen Mfg. Co. Ltd.
    Inventors: Joichi Nishimura, Masami Ohtani, Kenji Hashinoki, Masayoshi Shiga, Koji Hashimoto
  • Publication number: 20040175511
    Abstract: A coater having a substrate cleaning device is disclosed. Also disclosed are methods of processing substrates in a coater equipped with a substrate cleaning device. The substrate cleaning device comprises an ion gun (i.e., an ion source) that is positioned beneath a path of substrate travel (e.g., beneath a substrate support) extending through the coater and that is adapted for treating a bottom major surface of a substrate. Certain embodiments involve an upward coating apparatus that is further along the path of substrate travel than the substrate cleaning device. In some embodiments of this nature, the upward coating apparatus is configured for depositing a photocatalytic coating upwardly onto the bottom major surface of the substrate. Certain embodiments of the invention involve a downward coating apparatus, wherein the substrate cleaning device is further along the path of substrate travel than the downward coating apparatus.
    Type: Application
    Filed: December 31, 2003
    Publication date: September 9, 2004
    Inventor: Klaus Hartig
  • Patent number: 6787012
    Abstract: Systems and methods are described for synthesis of films, coatings or layers. An apparatus includes a first holder; a second holder coupled to the first holder; a linkage coupled to the first holder and the second holder to move the first holder relative to the second holder; a reusable tool coupled to the first holder, the reusable tool including a raised patterned surface; and a release layer coupled to the raised patterned surface of the reusable tool.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: September 7, 2004
    Inventor: Billy J. Stanbery
  • Patent number: 6776880
    Abstract: A plurality of processing chambers are connected to a common chamber (103 in FIG. 1), and they comprehend a processing chamber for oxidation (107), a processing chamber for solution application (108), a processing chamber for baking (109), and processing chambers for vapor-phase film formation (110, 111). Owing to a thin-film forming apparatus of such construction, it is permitted to fabricate an EL (electroluminescence) element employing a high-molecular EL material, without touching the open air. Thus, an EL display device of high reliability can be fabricated.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: August 17, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 6767439
    Abstract: The disclosure herein relates to a high throughput system for thin film deposition on substrates which can be used in applications such as optical disks, and in particular DVD disks, chip-scale packaging, and plastic based display, for example. An apparatus useful in the production of products of the kind described above includes: (a) a continuously moving web for simultaneously transporting a number of substrates to which a thin film of material is to be applied, wherein the moving web is a roll-to-roll moving web; (b) a central processing chamber which is maintained under vacuum and through which at least a portion of said continuously moving web travels; and, (c) at least one deposition device which is located within said central processing chamber, where at least a portion of said continuously moving web is exposed to material deposited from said deposition device. Typically the deposition device is a magnetron sputtering device.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: July 27, 2004
    Inventor: Young Park
  • Patent number: 6762417
    Abstract: A rotary shaft and a rotating body are connected in such a way as to stably control the tilt of a wafer platen in an ion implanter. The rotary shaft has a key way and the rotating body has a boss into which the rotary shaft extends. A key integrates the rotary shaft and the rotary body so that they rotate together without slipping relative to each other. An end cap is screwed onto the rotary body over the end of the rotary shaft and the key. As a result, the wafer platen can be maintained at an accurate orientation or tilt relative to the ion beam produced by the implanter.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: July 13, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Hyeung Jang, Hak-Young Kim
  • Patent number: 6752911
    Abstract: The invention relates to a device for coating an object at a high temperature by means of cathode sputtering, having a vacuum chamber and a sputter source, the sputter source having a sputtering cathode. Inside the vacuum chamber is arranged an inner chamber formed from a heat-resistant material, which completely surrounds the sputtering cathode and the object to be coated, at a small spacing, and which has at least one opening to let a gas in and at least one opening to let a gas out.
    Type: Grant
    Filed: July 16, 2002
    Date of Patent: June 22, 2004
    Assignee: Fraunhofer-Gesellschaft zur Foerderung der Angewandten Forschung E.V.
    Inventor: Thomas Jung
  • Patent number: 6740195
    Abstract: A sensor, such as a mass spectrometer, capable of detecting the presence of materials in a sampled gas is interconnected with a processing chamber of a vacuum manufacturing tool. The sensor includes a timing circuit which is activated only if certain levels of specific materials are detected. Furthermore, the timer is set to run a predetermined time interval after activation so as to discriminate between known transient processing conditions and the presence of impurities which can greatly influence the manufacturing process. When the timer exceeds the predetermined time duration, an output signal can alert the process operator or automatically shutdown the manufacturing tool.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: May 25, 2004
    Assignee: Leybold Inficon, Inc.
    Inventors: Louis C. Frees, Valentin Rio
  • Publication number: 20040069624
    Abstract: A continuous coating system has several treatment chambers (1, 2) that are arranged one after the other in such a way that in each case, a wall (3) of one treatment chamber (1) having a passage (5) contacts a wall (4) in the adjacent treatment chamber (2) that also has a passage (6). One of the passages (5) is provided in a sealing insert (7), which supports itself on the same side with a first support surface (9) on the wall (3) of one treatment chamber (1) and with a second support surface (10) against the wall (4) of the adjacent treatment chamber (2). Between the two support surfaces (9, 10) and the respective walls (3, 4), in each case there is a surrounding gasket (13, 14) against the respective support surface (9, 10) and the wall (3, 4).
    Type: Application
    Filed: May 23, 2003
    Publication date: April 15, 2004
    Inventor: Andreas Sauer