Multi-chamber (e.g., Including Air Lock, Load/unload Chamber, Etc.) Patents (Class 204/298.25)
  • Patent number: 6451179
    Abstract: Increased sidewall coverage in a wetting layer for a substrate via or trench is achieved in an inductively coupled plasma chamber by sputtering relatively pure aluminum.
    Type: Grant
    Filed: January 30, 1997
    Date of Patent: September 17, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Zheng Xu, Gongda Yao
  • Patent number: 6451118
    Abstract: A cluster tool architecture and method are provided for processing substrates by exposure to a process environment, including a reactive gas, such as sulfur trioxide, as well as prior and subsequent treatments thereto.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: September 17, 2002
    Assignee: Anon, Inc.
    Inventor: Rudolph A. Garriga
  • Patent number: 6440261
    Abstract: Apparatus for multi-chambered semiconductor wafer processing comprising a polygonal structure having at least two semiconductor process chambers disposed on one side. An area between the process chambers provides a maintenance access to the semiconductor processing equipment. Additionally, the apparatus may be clustered or daisy-chained together to enable a wafer to access additional processing chambers without leaving the controlled environment of the semiconductor wafer processing equipment.
    Type: Grant
    Filed: May 25, 1999
    Date of Patent: August 27, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Avi Tepman, Donald J. K. Olgado, Allen L. D'Ambra
  • Patent number: 6416640
    Abstract: A sputtering station for a disk-shaped workpiece includes one loadlock chamber, one sputtering source with a sputtering surface, a transport chamber with two workpiece handling openings, one communicating with the loadlock chamber and the other with the sputtering source. A transport device in the transport chamber has two workpiece carrier arms extending radially with respect to a rotation axis of the device. Each arm can extend and retract radially and carries a workpiece holder. The two openings of the transport chamber are radially opposite each other with respect to the axis of rotation so that the workpiece holders of the two arms may be swung toward each of the openings and about an arc of 180°.
    Type: Grant
    Filed: November 5, 1997
    Date of Patent: July 9, 2002
    Assignee: Unakis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6413381
    Abstract: A plasma sputtering system that may be used to deposit a film on a substrate such as an optical disk is disclosed. In one embodiment, the sputtering system includes a main vacuum chamber. A plurality of sputtering chambers and a load lock chamber are connected to the main vacuum chamber. An assembly of a horizontal unprocessed substrate, an inner mask, and an outer mask are pressed onto a substrate transport tray that is positioned in the load lock. The tray supports the substrate and the masks throughout the processing of the substrate. A vertical lift lowers the tray from the load lock onto a carousel. The carousel transports the tray, substrate and masks to the sputtering chambers and then back to the load lock for unloading. Other lifts raise the tray, processed substrate, and masks from the carousel to the sputtering chambers. The tray is selectively pressed against the lower access aperture of the load lock and sputtering chambers so as to isolated them from the main chamber.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: July 2, 2002
    Assignee: Steag HamaTech AG
    Inventors: Ken Lee, Ke Ling Lee, Mingwei Jiang, Robert M. Martinson
  • Publication number: 20020074225
    Abstract: The present invention is directed to a sputtering device for depositing multi-layer films on a substrate, the sputtering device comprising at least one planar-magnetron-sputtering-cathode and at least one facing-targets-sputtering-cathode housed in a single vacuum chamber, and adapted such that each planar-magnetron-sputtering-cathode and facing-targets-sputtering-cathode can be selectively positioned for sputtering deposition onto a substrate
    Type: Application
    Filed: September 26, 2001
    Publication date: June 20, 2002
    Inventors: Jian Zhong Shi, Jian Ping Wang
  • Patent number: 6406598
    Abstract: A plasma sputtering system is described. A substrate handling system thereof places an unprocessed substrate (e.g., an optical disk), an inner mask, and an outer mask onto a tray in a loadlock of the sputtering system, and then seals the access opening to the loadlock. The substrate and the masks are moved on the tray to a sputtering chamber where the substrate is sputter coated. The substrate handing system removes the processec substrate and accompanying inner and outer masks from the tray in the loadlock to an external substrate change station, where the processed substrate is removed from the masks, which are still gripped by the substrate handling system. Another unprocessed disk is placed on the inner mask and within the outer mask, and the sequence repeats. The substrate handling system only contacts the masks on surfaces thereof that are not subjected to direct sputter deposition.
    Type: Grant
    Filed: May 25, 2001
    Date of Patent: June 18, 2002
    Assignee: STEAG HamaTech AG
    Inventors: Ke Ling Lee, Mikhail Mazur, Ken Lee, Robert M. Martinson
  • Patent number: 6402902
    Abstract: A method for establishing and maintaining a reliable ground for reactive sputtering systems. A spatially extended high density plasma is generated in a large region surrounding the sputtering target. The plasma electrically connects the target to a part of the coating machine that is not subject to deposition of sputtered material from the target. The plasma is generated by an applicator which is independent of the target.
    Type: Grant
    Filed: February 13, 1995
    Date of Patent: June 11, 2002
    Assignee: Deposition Sciences, Inc.
    Inventor: Norman L. Boling
  • Publication number: 20020046946
    Abstract: An in-line sputtering apparatus includes a deposition chamber, a target installed inside the deposition chamber, a substrate holder to hold a substrate, a substrate holder transferring mechanism which transfers the substrate holder relative to the target such that a thin film made of a material of the target that is formed on the substrate held by the substrate holder, first and second thickness distribution correcting members and a plate driving mechanism. The first and second thickness distribution correcting members are provided above the target, and each of the first and second thickness distribution correcting members has a plurality of movable plates. The plate driving mechanism is linked to the first and second thickness distribution correcting members and moves the corresponding movable plates of the first and second distribution correcting plates, symmetrically.
    Type: Application
    Filed: August 17, 2001
    Publication date: April 25, 2002
    Inventors: Kazunori Shimoda, Eiichi Takata, Michio Kadota, Ken Funato
  • Publication number: 20020040846
    Abstract: A sputter coating apparatus includes at least a first sputter coating line and a second sputter coating line. The first and second sputter coating lines may be operated in parallel with one another in certain embodiments in order to independently form coating systems and respective coated articles. However, the two coating lines may also be utilized so as to operate in series with one another to form a coated article. In the latter case, a transition zone is provided between an end of the first line and an end of the second line so as to selectively couple an output of the first line to an input of the second line when it is desired to utilize the two sputter coating lines in series with one another. In such a manner, it is possible to avoid many of the inefficiencies associated with conventional sputter coating apparatuses and processes.
    Type: Application
    Filed: December 7, 2000
    Publication date: April 11, 2002
    Inventors: Marcel Schloremberg, Jean-Marc Lemmer
  • Patent number: 6364955
    Abstract: At least one chamber is provided for transport of workpieces such as storage disks, at least at times, into the vacuum atmosphere, during their manufacturing and comprises at least two exterior openings for the guiding-through of a workpiece. A majority of workpiece-receiving devices can be rotated jointly about an axis. At least one transport element is provided and is aligned with an opening. The transport element is disposed in the chamber independently of the rotatable workpiece-receiving devices and can be moved out and back in a radially controlled manner in at least one component, and engages on a workpiece in the opening area. A transport method for workpieces in an evacuatable chamber provides that at least two workpieces are rotated about a center in a plane of rotation and are displaced individually in a radial manner with respect to the center of rotation at least in one movement component.
    Type: Grant
    Filed: May 18, 2000
    Date of Patent: April 2, 2002
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6360132
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: March 19, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 6358377
    Abstract: A sputter coating apparatus includes at least a first sputter coating line and a second sputter coating line. The first and second sputter coating lines may be operated in parallel with one another in certain embodiments in order to independently form coating systems and respective coated articles. However, the two coating lines may also be utilized so as to operate in series with one another to form a coated article. In the latter case, a transition zone is provided between an end of the first line and an end of the second line so as to selectively couple an output of the first line to an input of the second line when it is desired to utilize the two sputter coating lines in series with one another. In such a manner, it is possible to avoid many of the inefficiencies associated with conventional sputter coating apparatuses and processes.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: March 19, 2002
    Assignee: Guardian Industries Corp.
    Inventors: Marcel Schloremberg, Jean-Marc Lemmer
  • Publication number: 20020020496
    Abstract: In the substrate treatment apparatus including substrate treatment chambers (301 and 303) and a buffer chamber (302) having an exhaust system (306b) independent of the substrate treatment chambers, connection tubes (304a and 304b) are provided between the substrate treatment chambers and the buffer chamber, and gas inlets are respectively provided for the connection tubes. A gas (308) for treating a substrate flows from the connection tube (304a) into the substrate treatment chamber (301) and the buffer chamber (302), while a gas (309) for treating a substrate flows from the connection tube (304b) into the substrate treatment chamber (303) and the buffer chamber (302). Accordingly, the gas does not move from the substrate treatment chamber to the buffer chamber against a gas flow, thereby allowing the separation between ambiences.
    Type: Application
    Filed: March 19, 2001
    Publication date: February 21, 2002
    Inventors: Hisato Shinohara, Naoto Kusumoto, Masato Yonezawa
  • Publication number: 20020015855
    Abstract: The present invention provides a system and method for depositing materials onto a substrate and preferably includes physical vapor deposition (PVD) and chemical vapor deposition (CVD) processing. In one aspect, a system is provided that deposits a stack of layers on a substrate comprising one or more nucleation layers, one or more conductive layers compatible with a high-dielectric-constant (HDC) material and one or more HDC layers in various sequences. The HDC material is useful in depositing thin metal-oxide films and ferroelectric films, as well as other films requiring vaporization of precursor liquids. The system allows PVD and CVD to occur within a centralized system to avoid contamination and reduce processing time. Further, different CVD layers can be deposited within the same CVD chamber.
    Type: Application
    Filed: June 15, 2001
    Publication date: February 7, 2002
    Inventors: Talex Sajoto, Elaine Pao, Charles N. Dornfest, Jun Zhao
  • Publication number: 20020008022
    Abstract: A cross flow system for metalizing compact discs, capable of being interposed in-line in the production of the compact discs after premastering, mastering, electro-forming, and molding includes a vacuum chamber having diametrically opposed vacuum locks and multiple metalization sources in the form of magnetrons, with a preferred cross flow including the introduction of a disc to be metalized through one lock and the exit of the metalized disc through the diametrically opposite lock. The double vacuum lock diametrically opposed cross flow system eliminates the problems of throughput limitations, high rate deposition, substrate pitting, and software complexity due to indexing which makes prior systems both costly and inefficient. The system also permits processing of more than one substrate or compact disc title such that multiple titled compact discs can be processed simultaneously.
    Type: Application
    Filed: January 21, 2000
    Publication date: January 24, 2002
    Inventors: Vladimir Schwartz, Klaus Bierwagen
  • Patent number: 6338778
    Abstract: The invention relates to a device, in particular for a laser-induced vacuum are discharge evaporator for depositing of multiple layers with a high level of purity and high deposition rates on large-area components. According to the invention, the material source for the coating material is in a source chamber which can be evacuated and can be separated in a vacuum-tight manner from the actual coating chamber in which the substrate to be coated is located. The evaporator can, in particular, be used for deposition of amorphous carbon layers which are hydrogen-free and superhard and/or which contain hydrogen, in conjunction with high-purity metal layers or for the reactive plasma-enhanced deposition of, for example, oxidic, carbide, nitride hard material layers of ceramic layers or a combination thereof. The corresponding plasma sources can be flange-mounted on any suitable coating chambers and, consequently, also combined with conventional coating processes, for example magnetron sputtering.
    Type: Grant
    Filed: January 12, 1999
    Date of Patent: January 15, 2002
    Assignees: Bayerische Motoren Werke Aktiengesellschaft, Fraunhofer-Gesellschaft zur Foerderung der angewandten Forschung e.V.
    Inventors: Daniela Giersch, Robert Schalausky, Goetz Mielsch, Hans-Joachim Scheibe
  • Patent number: 6337005
    Abstract: Process for the depositing, onto a substrate, of a coating essentially constituted of an electronic conductor compound, in which the said coating is formed by producing alternatively, on the one hand, in at least one depositing zone, one or several deposits of a determined thickness of an electronic conductor element on the substrate, and, on the other hand, in at least one reaction zone, one or several reactions of the element thus deposited with ions of a reactive gas which are implanted into the deposit of the above-mentioned element over approximately this entire thickness determined in a way as to form, preferably with the totality of this element, the said compound, the above-mentioned ions being submitted to a kinetic energy below 2000 V, while the aforesaid thickness of the deposit of the element is determined as a function of the kinetic energy applied in such a way as to allow the implantation of these ions over approximately this entire thickness.
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: January 8, 2002
    Assignee: Recherche et Développement du Groupe Cockerill Sambre en abrégé RD-CS
    Inventors: Pierre Vanden Brande, Alain Weymeersch
  • Patent number: 6336999
    Abstract: A sputter coating apparatus includes at least a first sputter coating line and a second sputter coating line. The first and second sputter coating lines may be operated in parallel with one another in certain embodiments in order to independently form coating systems and respective coated articles. However, the two coating lines may also be utilized so as to operate in series with one another to form a coated article. In the latter case, a transition zone is provided between an end of the first line and an end of the second line so as to selectively couple an output of the first line to an input of the second line when it is desired to utilize the two sputter coating lines in series with one another. In such a manner, it is possible to avoid many of the inefficiencies associated with conventional sputter coating apparatuses and processes.
    Type: Grant
    Filed: October 11, 2000
    Date of Patent: January 8, 2002
    Assignee: Centre Luxembourgeois de Recherches pour le Verre et al Ceramique S.A. (C.R.V.C.)
    Inventors: Jean-Marc Lemmer, Marcel Schloremberg
  • Patent number: 6335054
    Abstract: In an air lock for continuous introduction into and/or removal of workpieces from spaces (1, 4) separated atmospherically, the individual substrates (3, 13) are transported through a transfer channel (24). At least one lock chamber (7a-7m) serving to accommodate the substrates (3, 13) is arranged movably in the transfer channel (24). During the substrate transport in the transfer channel (24), the lock chamber (7a-7m) is atmospherically separated both from the exterior (1) having normal pressure and from the coating chamber (4). The air lock (2) includes a carrousel lock which has a carrousel housing (24) and a lock chamber wheel (10). On the periphery in the lock chamber wheel (10) individual lock chambers (7a-7m) are provided, in which the workpieces (3, 13) to be brought into the chamber to be loaded (4) are inserted freely accessible on the normal pressure side.
    Type: Grant
    Filed: August 3, 2000
    Date of Patent: January 1, 2002
    Assignee: Leybold Systems GmbH
    Inventors: Tomas Baumecker, Helmut Grimm, Jürgen Henrich, Klaus Michael, Gert Rödling, Jürgen Ulrich
  • Publication number: 20010050224
    Abstract: A magnetic film forming system which can always apply a magnetic field to a substrate in a constant direction. The magnetic film forming system comprises a vacuum container, a substrate pallet for holding a substrate in the vacuum container and being removable with the substrate held, from the vacuum container, and means for supporting the substrate pallet. Magnetic field generation means are fixed to the substrate pallet for applying a magnetic field to the substrate. When the substrate pallet is removed from the vacuum container, the magnetic field generation means are also taken out together with the substrate.
    Type: Application
    Filed: July 31, 2001
    Publication date: December 13, 2001
    Applicant: Hitachi, Ltd.
    Inventors: Yasushi Ishikawa, Fumiomi Ueda, Hiroo Ohkawa, Keiji Arimatsu, Takashi Hagiya, Hirosuke Yamaguchi
  • Patent number: 6328864
    Abstract: A vacuum processing apparatus includes a transfer chamber filled with a gas to have an upper limit of a target pressure range, a gas supply system connected to a gas supply source to supply the gas into the transfer chamber, a gas exhaust system for releasing the gas from within the transfer chamber, first and second vacuum chambers connected to the transfer chamber, first and second gate valves interposed between the transfer chamber and the vacuum chambers, which selectively permit the transfer chamber and the vacuum chambers to communicate with each other and a transfer mechanism for transferring a target object from the first vacuum chamber to the transfer chamber via the first gate valve and for transferring the target object from the transfer chamber to the second vacuum chamber via the second gate valve.
    Type: Grant
    Filed: April 7, 1998
    Date of Patent: December 11, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Yoshiaki Sasaki, Keisuke Kondoh, Tetsuo Yoshida
  • Patent number: 6328858
    Abstract: A multi-layer sputter deposition chamber or cluster tool module is described. The sputter deposition chamber includes a plurality of magnetrons mounted on a rotatable member that defines an aperture. A predetermined one of the plurality of magnetrons is positionable proximate to a substrate in the sputter deposition chamber. A transport mechanism transports the substrate in a path of the sputtered ions in a first and a second direction that is substantially opposite to the first direction.
    Type: Grant
    Filed: September 23, 1999
    Date of Patent: December 11, 2001
    Assignee: NEXX Systems Packaging, LLC
    Inventors: David Felsenthal, Chunghsin Lee, Piero Sferlazzo
  • Patent number: 6325856
    Abstract: A vacuum treatment system has an outer housing which defines a substantially cylindrical inner wall around an axis. At least two openings are provided for treating or conveying-through a respective workpiece arranged along at least one great circle of the cylindrical inner wall. One treatment, conveying or lock chamber respectively, is connected with the at least two openings. An inner housing defines a cylindrical outer wall and, together with the substantially cylindrical inner wall, forms a substantially cylindrical ring gap. A workpiece carrier carousel is rotationally drivable about the axis in the ring gap. A feed device comprising driving devices is movable in a radially driven manner on the inner housing and is aligned with the at least two openings. The driving devices act into the ring gap, and each of the driving devices has a separate drive.
    Type: Grant
    Filed: June 23, 1999
    Date of Patent: December 4, 2001
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6325901
    Abstract: At least an effective region of the face of a panel of a cathode ray tube is held within a vacuum chamber. After the vacuum chamber is hermetically sealed from the outside of the vacuum chamber, a thin film is formed on the face of the panel by using a film-forming means.
    Type: Grant
    Filed: October 19, 1998
    Date of Patent: December 4, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazumasa Hirayama, Hitoshi Takeda, Hisashi Iijima, Takeo Ito, Sakae Kimura, Hisashi Chigusa, Yoshimitsu Aramaki
  • Patent number: 6319373
    Abstract: A substrate transfer system is used in an in-line film deposition system. The substrate transfer system is provided with an auxiliary vacuum chamber and a main vacuum chamber. The auxiliary vacuum chamber has a plurality of first substrate cassettes. The main vacuum chamber is communicated with another vacuum chamber through which carriers are transferred along a transport path. The main vacuum chamber has two robots and a plurality of second substrate cassettes arranged in parallel on which the substrates is placed. The second substrate cassettes are arranged between the two robots. The substrates are disk-shaped substrates having center holes. The center holes are utilized as hook parts during a pickup operation. Thereby the method of mounting substrates in the holders of carriers etc. is improved without changing the operating speed of the robots. Therefore the amount of substrates transported per unit time is increased and the processing capacity of the substrate processing system is enhanced.
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: November 20, 2001
    Assignee: Anelva Corporation
    Inventors: Terushige Takeyama, Nobuhito Miyauchi, Takashi Shiba
  • Patent number: 6319371
    Abstract: A film forming apparatus comprises a sputtering chamber, a cooling drum disposed at an central portion thereof for cooling a roll film in contact with the surface thereof, a roll chamber, an SiOx film forming chamber and a monitor room disposed to the periphery of the drum, a sputter cathode disposed to the SiOx film forming chamber, and a moisture pump such as a cryogenic panel disposed in the film forming chamber for effectively discharging the moisture by which the partial pressure of the moisture in the film forming chamber is kept roll, in which the light absorption of the SiOx film after formation is monitored by an InSitu transmission light monitor, the value x for the SiOx is judged by the transmittance of light of the SiOx film to control the oxygen flow rate by an MFC such that the value x reaches an aimed value, thereby enabling to form an adhesion layer having sufficient adhesion and good permeability on the substrate.
    Type: Grant
    Filed: June 25, 1999
    Date of Patent: November 20, 2001
    Assignee: Sony Corporation
    Inventors: Hiroichi Ishikawa, Masayasu Kakinuma
  • Publication number: 20010040264
    Abstract: A method of forming a multi-layer structure over an insulating layer comprises the steps of: selectively depositing a barrier layer on a predetermined region of an insulating layer by use of a first deposition mask; selectively depositing a metal seed layer made of a metal which is different in substance from the barrier layer by use of a second deposition mask, so that the metal seed layer extends not only on an entire surface of the barrier layer but also a peripheral region positioned outside the predetermined region of the insulating layer; and forming a metal plating layer made of the metal as the seed layer, so that the metal layer is adhered on the metal seed layer whereby the metal plating layer is separated from the barrier layer and also from the insulating layer.
    Type: Application
    Filed: July 31, 2001
    Publication date: November 15, 2001
    Inventor: Nobukazu Ito
  • Patent number: 6315879
    Abstract: A flexible, modular thin film deposition machine comprises a number of batch process stations which define a batch process path. At least one of the batch process stations is a thin film deposition station including a serial deposition chamber and an inter-chamber disk transfer mechanism. The disks move in batches along the process path, being individually processed only at the deposition station. Within the serial sputtering chambers of at least one deposition station there is at most partial environmental separation, whereas between different deposition stations the separation is complete. The resulting simplification of the transport mechanism provides for a high throughput rate while simultaneously minimizing contamination of individual thin film layers.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: November 13, 2001
    Assignee: United Module Corporation
    Inventors: Hudson A. Washburn, Jarrett L. Hamilton
  • Publication number: 20010037939
    Abstract: A sample table for holding a silicon substrate into which an impurity is introduced is provided in the lower portion of a vacuum chamber. A high frequency power source is connected to the sample table through a coupling capacitor. The high frequency power source has a self-bias of 500 V, for example. Gas introducing means for introducing a sputtering gas such as an argon gas is provided on the bottom of the vacuum chamber. A solid target which contains an impurity which should be introduced, for example, boron is provided in the upper portion of the vacuum chamber.
    Type: Application
    Filed: August 7, 1996
    Publication date: November 8, 2001
    Applicant: Hiroaki Nakaoka
    Inventors: HIROAKI NAKAOKA, BUNJI MIZUNO, MICHIHIKO TAKASE, ICHIROU NAKAYAMA
  • Patent number: 6312569
    Abstract: A chemical vapor deposition apparatus for depositing a thin film of highly dielectric materials for giga-capacity memory devices can reliably clean reaction products formed within the deposition chamber without sacrificing the production efficiency. The apparatus comprises a hermetic deposition chamber containing a substrate holding section for supporting a substrate, and a gas supply head disposed opposite to the substrate holding section for directing a gaseous feed material onto the substrate. There are provided a trapping member supporting device for supporting a trapping member so as to be opposite to a target cleaning area inside the deposition chamber, and a plasma generation device for generating a plasma between the target cleaning area and the trapping member supported by the trapping member supporting device.
    Type: Grant
    Filed: October 14, 1998
    Date of Patent: November 6, 2001
    Assignee: Ebara Corporation
    Inventors: Hidenao Suzuki, Tsutomu Nakada, Masahito Abe, Masao Saitoh
  • Patent number: 6312525
    Abstract: A modular vacuum system may have vacuum chamber modules, power supply modules and control system modules. The vacuum chamber modules may be defined with a clear interface between them. There may be several interfaces on a transfer chamber module that provide connections to any process chamber module. The interfaces may provide common facilities and electrical connections to matching connections on the process chambers and may also provide some configurability with a removable optional facilities interface. The power supply modules may provide all of the power necessary for one corresponding chamber module and be built into cabinets for connecting together as a modular and scalable system. The modular control system may have objects that represent each chamber module in the vacuum system; and may have a system level that configures, initiates, distributes and controls the objects.
    Type: Grant
    Filed: July 8, 1998
    Date of Patent: November 6, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Nick Bright, Ben Mooring
  • Patent number: 6309525
    Abstract: A sputtering apparatus for forming a sputtered film on a substrate held on a substrate holder in a vacuum chamber includes a target, a deposition preventing plate provided between the target and the substrate holder, a substrate holder carrying mechanism, and a moving mechanism for moving the substrate holder carrying mechanism to change a distance between the substrate and the deposition preventing plate.
    Type: Grant
    Filed: February 17, 1999
    Date of Patent: October 30, 2001
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Masayasu Futagawa, Kiyoshi Mito
  • Patent number: 6309516
    Abstract: A method and apparatus for sputter deposition of metal alloys with improved compositional uniformity is provided, wherein a first, narrow width target is provided with a sputtering surface comprised of a metal alloy including metal elements having different angular distributions of sputtered atoms, and a wider width substrate having a deposition surface is moved past the sputtering surface, whereby the deposition surface traverses all arrival angles of the sputtered atoms thereby compensating for the different angular distributions of the sputtered atoms. The inventive methodology finds particular utility in the manufacture of magnetic and magneto-optical (MO) recording media.
    Type: Grant
    Filed: February 1, 2000
    Date of Patent: October 30, 2001
    Assignee: Seagate Technology LLC
    Inventor: Paul Stephen McLeod
  • Publication number: 20010027020
    Abstract: A method of fabricating a semiconductor device comprises the steps of: (a) forming a mask layer over an upper surface of a semiconductor substrate such that the mask layer has an aperture penetrating the mask layer and having an inclined lateral wall so as to make the aperture inverted taper shaped; (b) forming a first dielectric layer at a first area over the upper surface of the semiconductor substrate within the aperture by sputtering at a first sputtering incidence direction; and (c) forming a first electrode layer at a second area over the upper surface of the semiconductor substrate within the aperture by sputtering at a second sputtering incidence direction which is different from the first sputtering incidence direction.
    Type: Application
    Filed: January 23, 2001
    Publication date: October 4, 2001
    Applicant: Oki Electric Industry Co., Ltd.
    Inventor: Shinichi Hoshi
  • Patent number: 6290824
    Abstract: A magnetic film forming system which can always apply a magnetic field to a substrate in a constant direction. The magnetic film forming system comprises a vacuum container, a substrate pallet for holding a substrate in the vacuum container and being removable with the substrate held, from the vacuum container, and means for supporting the substrate pallet. Magnetic field generation means are fixed to the substrate pallet for applying a magnetic field to the substrate. When the substrate pallet is removed from the vacuum container, the magnetic field generation means are also taken out together with the substrate.
    Type: Grant
    Filed: October 27, 1993
    Date of Patent: September 18, 2001
    Assignee: Hitachi, Ltd.
    Inventors: Yasushi Ishikawa, Fumiomi Ueda, Hiroo Ohkawa, Keiji Arimatsu, Takashi Hagiya, Hirosuke Yamaguchi
  • Patent number: 6287386
    Abstract: The present invention generally provides a rotary wafer carousel and related wafer handler for moving wafers or other workpieces through a processing system, i.e., a semiconductor fabrication tool. Generally, the present invention includes a rotary wafer carousel having a plurality of wafer seats disposed thereon to support one or more wafers. The rotary carousel is preferably disposed through the lid in a transfer chamber opposite the robot which is preferably disposed through the bottom of the transfer chamber. The rotary carousel and the robot cooperate to locate wafers adjacent to process chambers and move wafers into and out of various chambers of the system. The invention improves the throughput of the system by positioning wafers adjacent to the appropriate chamber to reduce the amount of movement required of the robot for transporting wafers between chambers.
    Type: Grant
    Filed: June 12, 1999
    Date of Patent: September 11, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Alexey Goder, Eugene Gantvarg
  • Patent number: 6274014
    Abstract: A method for forming a thin film of a metal compound is disclosed. Within a vacuum chamber, a metallic ultra-thin film of a metal or an incompletely-reacted metal is deposited on a substrate. The metallic ultra-thin film is brought in contact with the electrically neutral activated species of a reactive gas so as to convert the metallic ultra-thin film to an ultra-thin film of a metal compound through the reaction of the metallic ultra-thin film with the activated species of the reactive gas. The above-described steps are sequentially repeated so as to deposit on the substrate the ultra-thin film of the metal compound in layers until a thin film of the metal compound having a desired thickness is formed on the substrate.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: August 14, 2001
    Assignee: Shincron Co., Ltd.
    Inventors: Shigeharu Matsumoto, Kazuo Kikuchi
  • Patent number: 6270619
    Abstract: A film forming device in a substrate manufacturing apparatus a stage section on which a cassette storing a plurality of glass substrates is mounted. A treatment section for subjecting the substrate to a predetermined treatment is arranged to oppose the stage section. A washing section for washing the substrate is arranged near the stage section and the treatment section and deviated from a space between the stage section and the treatment section in a second direction crossing a first direction passing through the stage section and the treatment section. A transfer robot is arranged between the stage section and the treatment section. The transfer robot transfers the substrate between the stage section, treatment section, and washing section and loads the substrate, washed in the washing section, directly into the treatment section.
    Type: Grant
    Filed: January 13, 1999
    Date of Patent: August 7, 2001
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Naoki Suzuki, Noriyuki Hirata, Masatoshi Shimizu, Takuo Higashijima, Hiroaki Takahashi, Yoshiaki Komatsubara
  • Publication number: 20010008208
    Abstract: A sputtering apparatus for forming a sputtered film on a substrate held on a substrate holder in a vacuum chamber includes a target, a deposition preventing plate provided between the target and the substrate holder, a substrate holder carrying mechanism, and a moving mechanism for moving the substrate holder carrying mechanism to change a distance between the substrate and the deposition preventing plate.
    Type: Application
    Filed: February 17, 1999
    Publication date: July 19, 2001
    Inventors: MASAYASU FUTAGAWA, KIYOSHI MITO
  • Publication number: 20010008209
    Abstract: A film forming apparatus comprises a sputtering chamber, a cooling drum disposed at an central portion thereof for cooling a roll film in contact with the surface thereof, a roll chamber, an SiOx film forming chamber and a monitor room disposed to the periphery of the drum, a sputter cathode disposed to the SiOx film forming chamber, and a moisture pump such as a cryogenic panel disposed in the film forming chamber for effectively discharging the moisture by which the partial pressure of the moisture in the film forming chamber is kept roll, in which the light absorption of the SiOx film after formation is monitored by an InSitu transmission light monitor, the value x for the SiOx is judged by the transmittance of light of the SiOx film to control the oxygen flow rate by an MFC such that the value x reaches an aimed value, thereby enabling to form an adhesion layer having sufficient adhesion and good permeability on the substrate.
    Type: Application
    Filed: June 25, 1999
    Publication date: July 19, 2001
    Inventors: HIROICHI ISHIKAWA, MASAYASU KAKINUMA
  • Patent number: 6251191
    Abstract: One of the disclosed processing apparatus includes a processing vessel having an inner processing space defined by a ceiling portion, a bottom portion, and side walls and capable of being evacuated to a predetermined vacuum, a mounting table which has a first mounting surface for mounting the object thereon and a second mounting surface facing an opposite side to which the first mounting surface faces, which is supported by the ceiling portion of the processing vessel, and which extends toward the bottom portion of the processing vessel in such a way that the first and second mounting surfaces face the side walls of the processing vessel, a process gas supply mechanism, for supplying a process gas to the inner processing space, and a loading/unloading portion having an opening formed in the bottom portion of the processing vessel and an open/close device for opening/closing the opening, for loading/unloading the object into/from the processing vessel.
    Type: Grant
    Filed: November 13, 1998
    Date of Patent: June 26, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Kimihiro Matsuse
  • Patent number: 6251232
    Abstract: A substrate holder 90 where a thin film has accumulated on the surface of the holding claws 91 is transferred in a state where no substrate 9 is being held into a film removal chamber 70 which is established branching off in such a way that the vacuum is connected from the square transfer path 80 along which a plurality of vacuum chambers including the film deposition chambers 51, 52, 53, 54 and 50 is established. A high frequency power supply 73 is connected via the movable electrode 74 to the holder body 92 and a high frequency electric field is established within the film removal chamber 70. A plasma is formed by generating a high frequency discharge in the gas which is being delivered by means of the gas delivery system 72 and the accumulated film on the surface of the holding claws 91 is removed in a vacuum by sputter etching due to ion impacts.
    Type: Grant
    Filed: February 22, 2000
    Date of Patent: June 26, 2001
    Assignee: Anelva Corporation
    Inventors: Yoshiki Aruga, Koji Maeda
  • Publication number: 20010004533
    Abstract: A barium strontium titanate is the ferroelectric substance with the perovskite structure available for a capacitor as a dielectric layer, and is crystallized through a high temperature heat treatment, in which the barium strontium titanate is further subjected to a low temperature heat treatment under the crystallizing temperature of the barium strontium titanate for eliminating impurities such as carbon and hydrogen therefrom so that the leakage current is drastically reduced.
    Type: Application
    Filed: December 13, 2000
    Publication date: June 21, 2001
    Inventors: Ichiro Yamamoto, Toshihiro Iizuka, Yoshitake Kato
  • Patent number: 6241824
    Abstract: In an apparatus for the coating of substrates in a vacuum with rotatable substrate carriers (15,16,20) and with a loading and an unloading station (8 or 9), two vacuum chambers (3,4) are provided with several coating stations (6,7 or 10 to 14), directly next to one another, wherein a rotatable transport arm (15 or 16) is accommodated in each of the two chambers (3, 4), and the transport planes of the two transport arms (15,16) are aligned with one another. In the separation area of the two chambers (3,4), an air lock is provided with a corresponding transfer apparatus (5) with two transport arms (15,16), whose rotary plate (20) is provided with substrate storage unit (21,22) and projects about halfway into one chamber (3) and halfway into the other chamber (4), wherein one chamber (3) has both the loading as well as the unloading station (8 or 9).
    Type: Grant
    Filed: August 4, 1999
    Date of Patent: June 5, 2001
    Assignee: Leybold Systems GmbH
    Inventors: Günter Bräuer, Hermann Kloberdanz, Hans-Georg Lotz, Jochen Schneider, Alfons Zöller, Harro Hagedorn, Michael König, Jürgen Meinel, Götz Teschner
  • Patent number: 6238533
    Abstract: A hole filling process for an integrated circuit in which two wiring levels in the integrated circuit are connected by a narrow hole, especially where the underlying level is silicon. First, a physical vapor deposition (PVD) process fills a barrier tri-layer into the hole. The barrier tri-layer includes sequential layers of Ti, TiN, and graded TiNx, grown under conditions of a high-density plasma. Thereafter, a first aluminum layer is PVD deposited under conditions of a high-density plasma. A filling aluminum layer is then deposited by standard PVD techniques.
    Type: Grant
    Filed: October 16, 1997
    Date of Patent: May 29, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Peter Satitpunwaycha, Gongda Yao, Kenny King-Tai Ngan, Zheng Xu
  • Patent number: 6235171
    Abstract: Disclosed is a vacuum film forming/processing apparatus and method which is hardly influenced by dusts and contamination on a substrate and moreover has a reduced exhaust volume. A substrate chamber for housing and holding a substrate and target chambers for housing and holding a target and an etching chamber are moved relatively. Any one of the target chambers, etching chamber and the substrate chamber are selectively coupled and communicated with each other, thereby creating a film forming chamber. In the film forming chamber, a film forming process to the substrate is performed and a multilayered film is formed. A conveying chamber which is conventionally provided is consequently made unnecessary. A problem of dusts and contamination occurring when the substrate passes through the conveying chamber is eliminated, so that the film quality and its stability are improved.
    Type: Grant
    Filed: October 12, 1999
    Date of Patent: May 22, 2001
    Assignee: TDK Corporation
    Inventor: Takahiro Yamamoto
  • Patent number: 6235634
    Abstract: The invention provides an apparatus and method for performing a process on a substrate. At least two types of structures may be used to provide a flow path for a substrate so that the substrate may be moved from one processing or loading position to another. The first is a conveyor. The second is a track. The flow path may be a closed continuous loop. Each processing island has a valve for introduction and extraction of the substrate into and out of an interior of the island. The processing island may include load locks, and may include in conjunction therewith an inspection station, a CVD chamber, a PECVD chamber, a PVD chamber, a post-anneal chamber, a cleaning chamber, a descumming chamber, an etch chamber, or a combination of such chambers.
    Type: Grant
    Filed: May 20, 1998
    Date of Patent: May 22, 2001
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: John M. White, Robert B. Conner, Kam S. Law, Norman L. Turner, William T. Lee, Shinichi Kurita
  • Patent number: 6235172
    Abstract: A robotic arm assembly in a transport module is expansible to have an effector at its end receive a substrate in a cassette module and is then contracted and rotated with the effector to have the effector face a process module. Planets on a turntable in the process module are rotatable on first parallel axes. The turntable is rotatable on a second axis parallel to the first axes to move successive planets to a position facing the effector. At this position, an alignment assembly is aligned with, but axially displaced from, one of the planets. This assembly is moved axially into coupled relationship with such planet and then rotated to a position aligning the substrate on the effector axially with such planet when the arm assembly is expanded. A lifter assembly aligned with, and initially displaced from, such planet is moved axially to lift the substrate from the effector. The arm assembly is then contracted, rotated with the effector and expanded to receive the next cassette module substrate.
    Type: Grant
    Filed: August 31, 1999
    Date of Patent: May 22, 2001
    Assignee: Applied Science & Technology
    Inventors: Robert George Begin, Peter J. Clarke
  • Patent number: 6231732
    Abstract: A cylindrical carriage sputtering system for disk, wafer, and flat panel substrates (20) comprising a cylindrical shaped vacuum sealed passageway formed by two concentric inner (11) and outer hollow cylinders (12), along with a top and a bottom sealing flange (13, 14). A central hollow cylinder (15), disposed between the inner (11) and outer cylinder (12), includes substrate-carrying openings and serves as a cylindrical carriage which substantially fills the sealed passageway and is rotatable in predetermined steps. Novel substrate processing devices (16) for deposition, heating, and cooling are attached around the circumference of the inner and outer cylindrical walls. Vacuum pumps are located between substrate processing devices (16). The openings in the cylindrical carriage are each fitted with thermally isolated substrate holders (19) for supporting a multiplicity of substrates (20).
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: May 15, 2001
    Assignee: SciVac
    Inventors: Dennis R. Hollars, Robert B. Zubeck