Etching Of Semiconductor Material To Produce An Article Having A Nonelectrical Function Patents (Class 216/2)
  • Publication number: 20030164353
    Abstract: The manufacturing method of the semiconductor device provides reduction of the photoresist film distortion occurred in a development procedure and, as a result, makes measurement of the place difference of the photoresist mask correct. The manufacturing method of the semiconductor device to be published are those the photoresist film consisting the upper alignment-measuring mark is placed more than about 200 &mgr;m from an corner in device forming region formed adjoining scribing region, along with X-direction which is the measurement direction in scribing region formed on semiconductor substrate.
    Type: Application
    Filed: March 3, 2003
    Publication date: September 4, 2003
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Kyoichi Tsubata
  • Publication number: 20030165636
    Abstract: A process for plasma treatment of an object's surface to be treated comprising the creation of a plasma, the application of the plasma to the surface to be treated, and the excitation of the surface to be treated, such that it vibrates and undulates. The energy for excitation of the surface may come from the process creating the plasma, from an external source, or from a combination of these two sources. The vibration preferably takes place while the plasma is being applied to the surface to be treated, but depending on the treatment to carry out, it may also take place just prior to and/or just after the application phase.
    Type: Application
    Filed: March 26, 2003
    Publication date: September 4, 2003
    Inventors: Pavel Koulik, Mikhail Samsonov, Alexander Cherepanov, Evguenii Petrov
  • Publication number: 20030164350
    Abstract: In devices such as flat panel displays, an aluminum oxide layer is provided between an aluminum layer and an ITO layer when such materials would otherwise be in contact to protect the ITO from optical and electrical defects sustained, for instance, during anodic bonding and other fabrication steps. This aluminum oxide barrier layer is preferably formed either by: (1) partially or completely anodizing an aluminum layer formed over the ITO layer, or (2) an in situ process forming aluminum oxide either over the ITO layer or over an aluminum layer formed on the ITO layer. After either of these processes, an aluminum layer is then formed over the aluminum oxide layer.
    Type: Application
    Filed: September 21, 2001
    Publication date: September 4, 2003
    Inventors: Robert J. Hanson, Won-Joo Kim, Mike E. Pugh
  • Publication number: 20030164352
    Abstract: A scroll type compressor comprises a stationary scroll and a rotary scroll which is assembled with the stationary scroll so as to define a closed space, the outer surface of the rotary scroll 22 is formed thereon with a tin compound film containing a tin compound and having a thickness of 50 &mgr;m. Such a coating film is never peeled off from a member even after long time operation, and is excellent in sealability and conformability, in the displacement type compressor.
    Type: Application
    Filed: February 26, 2003
    Publication date: September 4, 2003
    Inventors: Yoshishige Endo, Eiichi Satoh, Akihiko Yamamoto, Yuji Yoshitomi, Koichi Inaba, Koichi Sekiguchi
  • Publication number: 20030164351
    Abstract: The present invention relates to a method for applying a structured insulating layer (14) onto a metal layer (12), in which insulation material (14) is applied onto the metal layer (12), overlayer material (16) is applied to the insulating layer (14) and insulating material (14) and overlayer material (16) are etched in a plasma etching process, the overlayer material (16) being structured following the application of insulating layer (14), and, following the structuring of overlayer material (16), a plasma etching process is carried out, a structured and planarized insulating layer (14) being created.
    Type: Application
    Filed: April 30, 2003
    Publication date: September 4, 2003
    Inventor: Silva Jarak
  • Patent number: 6614110
    Abstract: An electronic packaging module for inverted bonding of electronic devicss including semiconductor devices, integrated circuits, application specific integrated circuits, electomechanical devices and MEMS is produced with protuberances on the conductive pattern of the substrate. The protuberances are of a soft, ductile metal capable of being metallurgically bonded to the input/output pads of electronic devices. The input/output pads of the devices may be simultaneously bonded to the protuberances of the packaging module.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: September 2, 2003
    Inventor: Benedict G Pace
  • Publication number: 20030160022
    Abstract: When using hot alkaline etchants such as KOH, the wafer front side, where various devices and/or circuits are located, must be isolated from any contact with the etchant. This has been achieved by using two chambers that are separated from each other by the wafer that is to be etched. Etching solution in one chamber is in contact with the wafer's back surface while deionized water in the other chamber contacts the front surface. The relative liquid pressures in the chambers is arranged to be slightly higher in the chamber of the front surface so that leakage of etchant through a pin hole from back surface to front surface does not occur. As a further precaution, a monitor to detect the etchant is located in the DI water so that, if need be, etching can be terminated before irreparable damage is done.
    Type: Application
    Filed: February 26, 2002
    Publication date: August 28, 2003
    Applicant: Institute of Microelectronics.
    Inventors: Zhe Wang, Qingxin Zhang, Pang Dow Foo, Hanhua Feng
  • Publication number: 20030160021
    Abstract: A method of bonding and packaging components of Micro-Electro-Mechanical Systems (MEMS) and MEMS based devices using a Solid-Liquid InterDiffusion (SLID) process is provided. A micro-machine is bonded to a micro-machine chip using bonding materials. A layer of chromium is first deposited onto surfaces of the micro-machine and the micro-machine chip followed by a layer of gold. Subsequently, a layer of indium is deposited between the layers of gold, and the surface of the micro-machine is pressed against the surface of the micro-machine chip forming a gold-indium alloy to serve as a bond between the micro-machine and the micro-machine chip. In addition, a cover is bonded to the micro-machine chip in the same manner providing a hermetic seal for the MEMS based device.
    Type: Application
    Filed: February 27, 2002
    Publication date: August 28, 2003
    Applicant: Honeywell International Inc.
    Inventors: William P. Platt, Carol M. Ford
  • Publication number: 20030159985
    Abstract: The invention relates to a method of producing nanostructures in membranes, in which method a membrane consisting of a polymer material is irradiated with charged particles, especially ions, to produce particle tracks. The particle tracks in the membrane are etched using an etching liquid and the etching operation is stopped using a stop liquid, in such a manner that asymmetrical structures are formed. Polyimide is used as the membrane material.
    Type: Application
    Filed: February 26, 2002
    Publication date: August 28, 2003
    Inventors: Zuzanna Siwy, Dobri D. Dobrev, Reinhard Neumann, Christina Trautmann, Kai Voss
  • Publication number: 20030155325
    Abstract: An atomizing injector includes a metering set having a swirl chamber, a spray orifice and one or more feed slots etched in a thin plate. The swirl chamber is etched in a first side of the plate and the spray orifice is etched through a second side to the center of the swirl chamber. Fuel feed slots extend non-radially to the swirl chamber. The injector also includes integral swirler structure. The swirler structure includes a cylindrical air swirler passage, also shaped by etching, through at least one other thin plate. The cylindrical air swirler passage is located in co-axial relation to the spray orifice of the plate of the fuel metering set such that fuel directed through the spray orifice passes through the air swirler passage and swirling air is imparted to the fuel such that the fuel has a swirling component of motion. At least one air feed slot is provided in fluid communication with the air swirler passage and extends in non-radial relation thereto.
    Type: Application
    Filed: February 20, 2003
    Publication date: August 21, 2003
    Inventors: Adel B. Mansour, Rex J. Harvey, Peter Laing
  • Patent number: 6608359
    Abstract: In a semiconductor device having a front surface where circuits are formed and a back surface, a hemispherical solid immersion lens is formed at the back surface of the semiconductor device in a body with the semiconductor device.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: August 19, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Hideki Kitahata
  • Publication number: 20030150791
    Abstract: An anti-microbial filter (105) for a micro-fluidic system (100) includes a silicon-based filter membrane (213) having holes (218) formed therein. The membrane (213) is formed on a substrate (211). One side of the filter membrane (213) has an anti-microbial coating (216) between the holes (218) on the filter membrane (213) and the other side can include filter supports formed from a silicon substrate. A method for making the anti-microbial filter (105) includes forming a filter membrane (213) on a substrate (211), forming holes (218) in the membrane (213) by providing a filter mask (215) and etching holes (218) through holes (222) in the mask (215). Then portions of the substrate (211) are removed from the filter membrane (213) using a masking and etching process to expose the holes (218). An anti-microbial coating is applied to the membrane (213) adjacent the holes (218).
    Type: Application
    Filed: February 13, 2002
    Publication date: August 14, 2003
    Inventors: Steven T. Cho, Harlow B. Christianson
  • Publication number: 20030150792
    Abstract: A frit for use in multi-layer microfluidic separation devices is provided. The frit comprises a polymeric membrane that may be securely bonded within the device and minimizes lateral wicking. A secure bond is ensured by treating the polymer to match its surface energy to that of the materials to which it is bound. Treatments include plasma treatment, irradiation and the application of acids.
    Type: Application
    Filed: September 27, 2002
    Publication date: August 14, 2003
    Applicant: Nanostream, Inc.
    Inventors: Jeffrey A. Koehler, Paren P. Patel
  • Publication number: 20030148539
    Abstract: A fluid dispensing system for at least biological applications, e.g., oligonucleotides, peptide nucleic acids (“PNA”), proteins, polysaccharides, polypeptides, inorganic solutions, microelectromechanical systems (MEMS), optical sensors, and other applications. The dispensing system includes a fluid dispensing apparatus for applying selected fluids in a predetermined manner to form a plurality of spots based upon one or more of the selected fluids on a surface of a substrate. The apparatus comprises an elongated member having at least a tip portion, which extends from the elongated member. The apparatus also has an etched trench extending along a portion of a length of the elongated member to the tip to form an opening defined on the tip portion and coupled to the etched trench. A flexible region is defined within the elongated member to allow the tip to adjust in position upon contact with the surface of the substrate. A fluid is disposed within the etched trench.
    Type: Application
    Filed: November 4, 2002
    Publication date: August 7, 2003
    Applicant: California Institute of Technology
    Inventors: R. Michael van Dam, Stephen R. Quake, Axel Scherer, Matthew O. Reese
  • Patent number: 6602428
    Abstract: A sensor for measuring a physical amount such as an amount of air includes a membrane structure composed of metal stripes sandwiched between first and second insulating layers. A metal layer made of platinum or the like is formed on the first insulating layer and then heat-treated to improve its properties. Then, the metal layer is etched into a form of the metal stripes. The second insulating layer made of a material such as silicon dioxide is formed on the etched metal stripes. Since the metal layer is heat-treated before it is etched into the form of metal stripes, the metal stripes are not deformed by the heat-treatment. The second insulating layer can be formed on the metal stripes without generating cracks in the second insulating layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: August 5, 2003
    Assignee: Denso Corporation
    Inventors: Hiroyuki Wado, Makiko Sugiura, Toshimasa Yamamoto, Yukihiro Takeuchi, Yasushi Kohno
  • Patent number: 6602427
    Abstract: A method for fabricating a micromachined optical mechanical modulator based WDM transmitter/receiver module is described. The Fabry-Perot cavity of the mechanical modulator is structured from a three-polysilicon-layer stack formed on the surface of a single crystalline silicon substrate. The polysilicon membrane and its supporting polysilicon beams of the cavity are cut from the top polysilicon layer of the stack and are released by selective etching of their underlying polysilicon. The etched underlying polysilicon layer is heavily doped and then converted into porous polysilicon by anodization in HF solution. The polysilicon membrane and its supporting polysilicon are finally released using a reactive ion etch process to avoid stiction often generated in a wet etch process. A conic hole is formed on the backside of the single crystalline silicon substrate for receiving an optical fiber that can be passively aligned with the Fabry-Perot cavity.
    Type: Grant
    Filed: August 28, 2000
    Date of Patent: August 5, 2003
    Inventor: Xiang Zheng Tu
  • Publication number: 20030141275
    Abstract: An apparatus and method for modifying the surface of an object by contacting said surface with a liquid processing solution using the liquid applicator geometry and Marangoni effect (surface tension gradient-driven flow) to define and confine the dimensions of the wetted zone on said object surface. In particular, the method and apparatus involve contouring or figuring the surface of an object using an etchant solution as the wetting fluid and using real-time metrology (e.g. interferometry) to control the placement and dwell time of this wetted zone locally on the surface of said object, thereby removing material from the surface of the object in a controlled manner. One demonstrated manifestation is in the deterministic optical figuring of thin glasses by wet chemical etching using a buffered hydrofluoric acid solution and Marangoni effect.
    Type: Application
    Filed: January 24, 2003
    Publication date: July 31, 2003
    Applicant: The Regents of the University of California
    Inventors: Michael C. Rushford, Jerald A. Britten
  • Publication number: 20030141274
    Abstract: An apparatus for precisely steering a beam of light by making use of a hybrid inter optical alignment that occurs when a beam steering mechanism is micro-machined with respect to a crystallographic orientation of a substrate.
    Type: Application
    Filed: August 16, 2002
    Publication date: July 31, 2003
    Inventor: Ronald S. Maynard
  • Patent number: 6598750
    Abstract: A micromachined membrane particle filter is formed by making holes in a silicon and coating over the holes with Parylene.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: July 29, 2003
    Assignee: California Institute of Technology
    Inventors: Yu-Chong Tai, Xing Yang
  • Patent number: 6599436
    Abstract: A method is disclosed to form external interconnections to a microfluidic device for coupling of a fluid or light or both into a microchannel of the device. This method can be used to form optical or fluidic interconnections to microchannels previously formed on a substrate, or to form both the interconnections and microchannels during the same process steps. The optical and fluidic interconnections are formed parallel to the plane of the substrate, and are fluid tight.
    Type: Grant
    Filed: December 6, 2001
    Date of Patent: July 29, 2003
    Assignee: Sandia Corporation
    Inventors: Carolyn M. Matzke, Carol I. H. Ashby, Leonardo Griego
  • Publication number: 20030136755
    Abstract: Metal oxide films are etched with a metal and an etch liquid containing an acid and a metal penetration control agent.
    Type: Application
    Filed: January 10, 2003
    Publication date: July 24, 2003
    Applicant: FELDMAN TECHNOLOGY CORPORATION
    Inventors: Douglas McLean, Bernard Feldman
  • Publication number: 20030132198
    Abstract: According to the present invention, there is provided a sample surface treating apparatus for processing a fine pattern by plasma etching, comprising: a stage provided in a chamber, on which a sample to be subjected to a surface treatment is placed; etching gas supplying means for continuously supplying an etching gas for plasma generation into the chamber; plasma generating means for generating a high-density plasma in the chamber; a bias power supply for applying a bias voltage of 100 kHz or higher to the stage independently of the plasma generation; and pulse modulating means for modulating the bias power supply at a frequency of 100 Hz to 10 kHz, wherein a surface treatment in which the minimum feature size is 1 &mgr;m or smaller is performed to the sample placed on the stand.
    Type: Application
    Filed: February 12, 1999
    Publication date: July 17, 2003
    Inventors: TETSUO ONO, TATSUMI MIZUTANI, RYOUJI KAMASAKI, TOKUO KURE, TAKAFUMI TOKUNAGA, MASAYUKI KOJIMA
  • Publication number: 20030132191
    Abstract: A scanning probe microscope probe is formed by depositing probe material in a mold that has a cavity in a shape and of a size of the desired form of the scanning probe microscope probe that is being fabricated. In the preferred embodiment, the cavity is formed by lithographically defining, in the body of the mold, the shape and the size of the desired scanning probe microscope probe and etching the body of the mold to form the cavity. Prior to depositing the probe material in the cavity in the mold, the cavity is lined with a release layer which, upon activation after the probe has been formed, permits removal of the probe.
    Type: Application
    Filed: January 17, 2002
    Publication date: July 17, 2003
    Applicant: International Business Machines Corporation
    Inventors: Mahadevaiyer Krishnan, Mark E. Lagus, Kevin S. Petrarca, James G. Ryan, Richard P. Volant
  • Publication number: 20030132192
    Abstract: A flexible printed board contains an unroughened electrodeposited copper foil, a zinc-based metallic layer provided thereon in an amount of 0.25 to 0.40 mg/dm2, and a polyimide resin layer formed through the imidation of a polyamic acid layer provided on the zinc-based metallic layer.
    Type: Application
    Filed: September 12, 2002
    Publication date: July 17, 2003
    Inventors: Noriaki Kudo, Asaei Takabayashi, Akitoshi Suzuki, Shin Fukuda
  • Publication number: 20030127422
    Abstract: A method for SAC etching is provided involving a) etching a Si wafer having a nitride present thereon with a first etching gas containing a first perfluorocarbon and carbon monoxide, and b) etching the resultant Si wafer having an initially etched nitride photoresist thereon with a second etching gas containing a second perfluorocarbon in the substantial absence of carbon monoxide, wherein the etching steps a) and b) are performed at high RF power and low pressure compared to conventional processes to provide higher selectivity etching and a larger process window for SAC etching, as well as the ability to perform SAC etching and island contact etching under the same conditions with high verticality of the island contact and SAC walls.
    Type: Application
    Filed: October 30, 2002
    Publication date: July 10, 2003
    Inventor: Kazuo Tsuchiya
  • Patent number: 6589433
    Abstract: A process for fabricating an accelerometer, which includes providing a substrate with a layer of electrically conductive material on the substrate, micromachining the substrate to form a central electrical heater, a pair of temperature sensitive elements, and a cavity beneath the heater and the temperature sensing elements. Each temperature sensing element is spaced apart from said heater a distance in the range of 75 to 400 microns. The temperature sensing elements are located on opposite sides of the heater, thereby forming an accelerometer.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: July 8, 2003
    Assignee: Simon Fraser University
    Inventor: Albert M. Leung
  • Patent number: 6589434
    Abstract: The present invention relates to an exposure apparatus and a method for manufacturing 3-D horn antenna using the exposure apparatus. More particularly, it relates to a method for manufacturing a horn-shaped 3-D micro-structure antenna and an extremely low-speed, inclined-rotating, parallel exposure apparatus that makes it possible to manufacture the 3-D micro-structure antenna mentioned above.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: July 8, 2003
    Assignee: Institute of Science and Technology
    Inventors: Sung Moon, Jong Yeon Park
  • Patent number: 6589436
    Abstract: Provided is a reactive ion etching (RIE) method for use in altering the flatness of a slider, whereby a slider or row of sliders is placed within a RIE apparatus. The apparatus comprises essentially an electrode within a chamber having an inlet and an outlet. The electrode is controlled by a bias power source. A source power is provided to the chamber to generate the plasma, wherein a gas or gas mixture is first introduced to the chamber and the source power is adjusted to maximize the plasma composition of ions and reactive neutral species. The ions and reactive neutral species are generated from reactive chemical species such as CHF3 and other F-containing species. An inert gas such as Argon may also be present. Typically, TiC within the Al2O3 matrix of the slider substrate surface is etched at a faster rate than other substrate species.
    Type: Grant
    Filed: June 14, 2000
    Date of Patent: July 8, 2003
    Assignee: International Business Machines Corporation
    Inventors: Jila Tabib, Yiping Hsiao, Richard Hsiao, Richard T. Campbell, Ciaran A. Fox
  • Publication number: 20030121884
    Abstract: A method for removing a plurality of dielectric films from a supporting substrate by providing a substrate with a second dielectric layer overlying a first dielectric layer, contacting the substrate at a first temperature with a first acid solution exhibiting a positive etch selectivity at the first temperature, and then contacting the substrate at a second temperature with a second acid solution exhibiting a positive etch selectivity at the second temperature. The first and second dielectric layers exhibit different etch rates in the first and second acid solutions. The first and second acid solutions may contain phosphoric acid. The first dielectric layer may be silicon nitride and the second dielectric layer may be silicon oxide. Under these conditions, the first temperature may be about 175° C. and the second temperature may be about 155° C.
    Type: Application
    Filed: December 20, 2002
    Publication date: July 3, 2003
    Inventors: Li Li, Don L. Yates
  • Publication number: 20030121882
    Abstract: A method of manufacturing Er-doped silicon nano-dot arrays and a laser ablation apparatus are provided. In the method, a target having a silicon region and an erbium region is prepared. A silicon substrate is introduced opposite to the target. Laser light is irradiated onto the target, a plume containing silicon ablated from the silicon region and erbium ablated from the erbium region is generated, and an Er-doped silicon film is deposited on the silicon substrate from the plume The Er-doped silicon film is patterned.
    Type: Application
    Filed: June 12, 2002
    Publication date: July 3, 2003
    Inventors: Jeong-sook Ha, Kyoung-wan Park, Seung-min Park, Jong-hyurk Park
  • Publication number: 20030121883
    Abstract: Electrically resistive material including platinum and from about 5 and about 70 molar percent of iridium, ruthenium or mixtures thereof, calculated based on platinum as 100%, are disclosed.
    Type: Application
    Filed: July 3, 2002
    Publication date: July 3, 2003
    Applicant: Shipley Company, L.L.C.
    Inventors: Craig S. Allen, John Schemenaur, David D. Senk, Marc Langlois, Xiaodong Hu, Jan Tzyy-Jiuan Hwang, Jud Ready, Trifon Tomov
  • Publication number: 20030116528
    Abstract: A micromechanical device is provided, which includes at least one flexible member formed from an alloy, where the alloy is made up of one or more noble metals and one or more alloying elements, wherein each of the alloying elements has an equilibrium solid solubility in the noble metal, and wherein the one or more alloying elements are present in an amount that does not result in precipitates. A method for making a micromechanical device includes depositing an alloy on a substrate to form at least one flexible member, the alloy comprising one or more noble metals and one or more alloying elements, wherein the one or more alloying elements form a solid solution with the one or more noble metals; and removing a portion of the substrate or a sacrificial layer beneath the deposited alloy layer to obtain a flexible member.
    Type: Application
    Filed: December 11, 2001
    Publication date: June 26, 2003
    Inventors: Jonathan J. Bernstein, William P. Taylor
  • Publication number: 20030111438
    Abstract: A method including in a wafer processing environment, introducing a liquid via a carrier gas, and separate from the liquid, introducing a first gas comprising ozone and a legacy amount of oxygen and a second gas comprising an effective amount of oxygen to modify a process operation. A system including a chamber, a liquid source, a first gas source, and a second gas source, a controller configured to control the introduction into the chamber of a liquid from the liquid source, a first gas comprising ozone and a legacy amount of oxygen from the first source, a second gas comprising oxygen from the second gas source, and a memory coupled to the controller comprising a machine-readable medium having a program embodied therein for controlling the second gas to introduce an effective amount of oxygen into the chamber to modify a process operation.
    Type: Application
    Filed: December 18, 2001
    Publication date: June 19, 2003
    Inventors: Kevin M. Mukai, Shankar Chandran
  • Publication number: 20030111437
    Abstract: In the method, a cap wafer surface is lithographically etched at time of fabrication, so that a raised ridge onto which bonding material is placed is formed near a perimeter of a desired cavity region. This is done in order to reduce the bonding area between the cap wafer and electronic device wafers, so as to provide a better defined standoff. In another aspect of the method, the cap wager surface is lithographically etched to form recesses or trenches near the perimeter of a cavity region, each recess being filled with a sealing material, and polished if necessary to be flush with the cap wafer surface. Thereafter, the cap wafer surface is etched so that the filled recesses become the raised ridges which are used to bond a cap wafer to an electronic device wafer.
    Type: Application
    Filed: December 13, 2001
    Publication date: June 19, 2003
    Inventors: Bradley Paul Barber, LaRue Norman Dunkleberger, Jason Paul Goodelle, Thomas Herbert Shilling
  • Publication number: 20030106875
    Abstract: A spirometric system can be used to determine static and dynamic lung function for diagnosis, therapy and evaluation. In recent years, air pollution and deteriorating environment cause respiratory diseases increasing rapidly. A portable spirometric system, which for home care and possible screening test in the hospital is the answer to this needs. Recently, the established technologies of microelectromechanical system (MEMS) have enabled the possible minimization of spirometer with microsensor. It includes the modular design for low power consumptions, precision volume productions, competitive price for disposable sensors. In this invention, the focus is to improve sensor's performance by using MEMS technologies and material selection. Fabricating microsensor uses semiconductor processes, which aims to increases sensor's performance and lower cost by future mass production.
    Type: Application
    Filed: December 6, 2001
    Publication date: June 12, 2003
    Inventors: Chii Wann Lin, Jyh-Perng Chiu, Tz-Son Kvo
  • Patent number: 6576147
    Abstract: It is an object of the invention to carry out layout compaction in which optical proximity effect is taken account of the irregularly disposed layout patterns also contained within circuit design data to increase the degree of integration of the semiconductor integrated circuit devices.
    Type: Grant
    Filed: October 4, 2002
    Date of Patent: June 10, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kiyohito Mukai
  • Patent number: 6576900
    Abstract: Methods of sampling specimens for microanalysis, particularly microanalysis by atom probe microscopy, include steps of forming a study specimen in a first study object (as by use of focused ion beam milling); removing the study specimen from the study object; situating the study specimen on a second study object; and microanalyzing the study specimen. Where the first study object is of particular interest for study, the study specimen may be taken from a functional portion of the first study object so that microanalysis will provide information regarding this functional portion. Where the second study object is of particular interest for study, the second study object may be subjected to manufacturing processes (e.g., deposition of layers of materials) after the study specimen is situated thereon so that the study specimen will provide information regarding the results of the manufacturing process.
    Type: Grant
    Filed: May 18, 2001
    Date of Patent: June 10, 2003
    Assignee: Imago Scientific Instruments
    Inventors: Thomas F. Kelly, Richard L. Martens, Steven L. Goodman
  • Patent number: 6576489
    Abstract: The invention includes methods of forming microstructure devices. In an exemplary method, a substrate is provided which includes a first material and a second material. At least one of the first and second materials is exposed to vapor-phase alkylsilane-containing molecules to form a coating over the at least one of the first and second materials.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: June 10, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Toi Yue Becky Leung, Jeffrey D. Chinn
  • Publication number: 20030098288
    Abstract: Because of environmental pollution prevention laws, PFC (perfluorocarbon) and HFC (hydrofluorocarbon), both etching gases for silicon oxide and silicon nitride films, are expected to be subjected to limited use or become difficult to obtain in the future. An etching gas containing fluorine atoms is introduced into a plasma chamber. In a region where plasma etching takes place, the fluorine-containing gas plasma is made to react with solid-state carbon in order to produce molecular chemical species such as CF4, CF2, CF3 and C2F4 for etching. This method assures a high etch rate and high selectivity while keeping a process window wide.
    Type: Application
    Filed: December 10, 2002
    Publication date: May 29, 2003
    Inventors: Masahito Mori, Shinichi Tachi, Kenetsu Yokogawa
  • Patent number: 6569343
    Abstract: The invention provides a method for producing a liquid discharge head including a head main body provided with plural energy generation elements for generating energy for discharging liquid as a flying liquid droplet and plural flow paths in which the energy generation elements are respectively provided, and an orifice plate provided with plural discharge ports respectively communicating with the flow paths, wherein the orifice plate and the head main body are mutually adjoined, the method comprising a step of preparing a substrate consisting of a silicon-containing material for preparing the orifice plate a step of forming, by dry etching, plural recesses in positions on the surface of the substrate respectively corresponding to the discharge ports, with a depth larger by 5 to 50 &mgr;m than the depth of the discharge ports, a step of thinning the substrate from the reverse side thereof until the depth of the recesses becomes equal to the depth of the discharge apertures to form plural discharge ports on the
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: May 27, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yoshiaki Suzuki, Toshio Kashino, Masashi Miyagawa, Hiroaki Mihara
  • Publication number: 20030094434
    Abstract: A contact is defined by an opening etched into borophosphosilicate glass (BPSG) down to a silicon substrate. In a contact cleaning process designed to remove native oxide at the bottom of the contact with little effect on the BPSG, the contact is dipped in an etch retardant before being dipped in a cleaning solution containing both the etch retardant and an etchant. The dip in etch retardant modifies the surface of the BPSG, thereby lessening the enhanced etching experienced during the initiation of the dip into the etchant/etch retardant cleaning solution. Results of a etchant/etch retardant clean, both with and without the prepassivation, can be illustrated on a graph depicting the change in contact diameter as a function of dip time. Specifically, the results define “best fit” lines on that graph.
    Type: Application
    Filed: November 15, 2002
    Publication date: May 22, 2003
    Inventor: Satish Bedge
  • Patent number: 6566236
    Abstract: A novel gate structure and a method of forming the same for a self-aligned contact on a semiconductor substrate. The method includes forming a gate oxide layer on the semiconductor substrate. Then a first conductive layer is formed on the gate oxide layer. Next, a second conductive layer, preferably a refractory metal silicide (e.g. WSix), is formed overlying the first conductive layer. A capping layer is formed overlying the second conductive layer. Then the capping layer is etched to form a patterned capping layer having a lower outside corner. An upper portion of the second conductive layer is selectively dry etched laterally to form a lateral recess under the capping layer to increase etch margin. A lower portion of the second conductive layer is then etched anisotropically down to the first conductive layer along a sidewall approximately vertically aligned with the lower outside corner of the patterned capping layer.
    Type: Grant
    Filed: April 26, 2000
    Date of Patent: May 20, 2003
    Assignee: Integrated Device Technology, Inc.
    Inventors: Tsengyou Syau, Guo-Qiang (Patrick) Lo, Shih-Ked Lee, Chuen-Der Lien, Sang-Yun Lee, Ching-Kai (Robert) Lin
  • Patent number: 6560871
    Abstract: A method of processing a semiconductor substrate to increase fracture strength and a semiconductor substrate formed by that method. In a preferred embodiment, the semiconductor substrate is utilized in a printhead. The semiconductor substrate has a feature such as an ink feed channel machined therein, and following machining the die is processed to remove material adjacent the machined feature to reduce micro-cracks or other defects that may have been created during the feature machining process. The crack containing material may be removed by several procedures. A preferred procedure is etching with a solution containing TMAH.
    Type: Grant
    Filed: March 21, 2000
    Date of Patent: May 13, 2003
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: David O. Ramos, Martin Bresciani
  • Publication number: 20030085194
    Abstract: A method for fabricating close spaced mirror arrays on a semiconductor crystal substrate using a microelectro mechanical system (MEMS) technique where it is desired to form octagon or circular membranes in which the mirrors may be fabricated and steered for optical N×N switching. The method uses a 100 crystal plane substrate having a perpendicular 110 crystal plane. An etching mask with a layout of individual cross arms and a centered diamond is arranged with respect to their centers in a double triangle arrangement with the lines connecting the centers aligned at a 45 degree angle to the 110 crystal plane. This results in an almost double array density.
    Type: Application
    Filed: November 7, 2001
    Publication date: May 8, 2003
    Inventor: Dean A. Hopkins
  • Patent number: 6558559
    Abstract: A method of sacrificial layer etching of micromechanical surface structures, in which a sacrificial layer is deposited on a heatable silicon substrate and is structured. A temperature difference between the substrate and the vapor phase of an etching medium is established in such a way that exposed metal contacts made of aluminum alloys are not attacked at the same time and are not subsequently exposed to any risk of corrosion.
    Type: Grant
    Filed: February 5, 1998
    Date of Patent: May 6, 2003
    Assignee: Robert Bosch GmbH
    Inventors: Volker Becker, Franz Laermer, Michael Offenberg, Andrea Schilp
  • Publication number: 20030080042
    Abstract: An adjustable nanopore is fabricated by placing the surfaces of two planar substrates in contact, wherein each substrate contains a hole having sharp corners and edges. A corner is brought into proximity with an edge to define a triangular aperture of variable area. Ionic current in a liquid solution and through the aperture is monitored as the area of the aperture is adjusted by moving one planar substrate with respect to the other along two directional axes and a rotational axis. Piezoelectric positioners can provide subnanometer repeatability in the adjustment process. The invention is useful for characterizing, cleaving, and capturing molecules, molecular complexes, and supramolecular complexes which pass through the nanopore, and provides an improvement over previous devices in which the hole size of nanopores fabricated by etching and/or redeposition is fixed after fabrication.
    Type: Application
    Filed: October 30, 2001
    Publication date: May 1, 2003
    Inventors: Philip W. Barth, Daniel B. Roitman, Joel Myerson
  • Publication number: 20030080082
    Abstract: The present invention pertains to a method of fabricating a surface within a MEM which is free moving in response to stimulation. The free moving surface is fabricated in a series of steps which includes a release method, where release is accomplished by a plasmaless etching of a sacrificial layer material. An etch step is followed by a cleaning step in which by-products from the etch step are removed along with other contaminants which may lead to stiction. There are a series of etch and then clean steps so that a number of “cycles” of these steps are performed. Between each etch step and each clean step, the process chamber pressure is typically abruptly lowered, to create turbulence and aid in the removal of particulates which are evacuated from the structure surface and the process chamber by the pumping action during lowering of the chamber pressure. The final etch/clean cycle may be followed by a surface passivation step in which cleaned surfaces are passivated and/or coated.
    Type: Application
    Filed: October 29, 2001
    Publication date: May 1, 2003
    Inventors: Jeffrey D. Chinn, Vidyut Gopal, Sofiane Soukane, Toi Yue Becky Leung
  • Publication number: 20030080083
    Abstract: An electroless plating apparatus includes: a storage tank for an electroless plating solution; a blocking member which blocks the electroless plating solution from flowing from a treatment side of a semiconductor wafer installed to the storage tank toward an opposite side to the treatment side, the treatment side being a side facing the electroless plating solution; and a solution supplier which causes the electroless plating solution to flow so that the electroless plating solution comes in contact with the treatment side of the semiconductor wafer.
    Type: Application
    Filed: October 10, 2002
    Publication date: May 1, 2003
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Hiroshi Ohara
  • Publication number: 20030082928
    Abstract: A method is presented for fabricating an electrically isolated MEMS device having a conductive outer MEMS element, and an inner movable MEMS element spaced apart from the conductive outer MEMS element. The inner element includes a nonconductive base having a plurality of conductive structures extending therefrom. The conductive components are formed by plating a conductive material into a pre-formed mold which defines the shape of the conductor.
    Type: Application
    Filed: October 25, 2001
    Publication date: May 1, 2003
    Inventors: Michael J. Knieser, Robert J. Kretschmann, Mark A. Lucak, Richard D. Harris
  • Publication number: 20030080084
    Abstract: In a method of forming a patterned thin film, first, an etching stopper film and a film to be patterned are formed in this order on a base layer. Next, a patterned first film is formed on the film to be patterned. Next, a second film is formed over an entire surface on top of the film to be patterned and the first film. Then, by removing the first film, an etching mask is obtained from the second film formed on the film to be patterned. The film to be patterned is selectively etched through dry etching using the etching mask. A patterned thin film having a groove is thereby obtained.
    Type: Application
    Filed: October 17, 2002
    Publication date: May 1, 2003
    Applicant: TDK CORPORATION
    Inventors: Akifumi Kamijima, Yoichi Ishida, Koichi Terunuma