Etching Of Semiconductor Material To Produce An Article Having A Nonelectrical Function Patents (Class 216/2)
  • Patent number: 6679995
    Abstract: A method of micromechanically manufacturing fixed and movable layer-like electrodes of a semiconductor element, for example, a capacitive acceleration sensor, which are exposed over a substrate over a certain area is provided. A sacrificial layer may be arranged between the substrate and the fixed and movable electrodes being removed in an etching step in order to expose the electrodes with respect to the substrate. The thickness of the sacrificial layer located in the area of the fixed electrodes may be less than the thickness of the sacrificial layer located in the area of the movable electrodes.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: January 20, 2004
    Assignee: Robert Bosch GmbH
    Inventors: Branko Banjac, Frank Fischer, Doris Schielein, Dirk Bueche
  • Publication number: 20040007063
    Abstract: An integrated composite beam structure. The structure has a substrate, which includes a surface and a thickness defined underlying the surface. The substrate is composed of a first material. A thickness of polymer based material includes a first portion, a length, and a second portion. The second is coupled to a portion of the substrate. The length is defined between the first portion and the second portion. The structure also has a spring constant (K) of less than a predetermined amount (e.g., 10 N/m, 1 N/m) characterizing the length of the polymer based beam structure. The first portion is capable of moving in a first direction characterized by the spring constant upon application of an externally applied force and is substantially fixed in a second direction, which is normal to the first direction. The second end is capable of returning to a predetermined state when the externally applied force has been removed.
    Type: Application
    Filed: May 28, 2003
    Publication date: January 15, 2004
    Applicant: California Institute of Technology
    Inventors: Yu-Chong Tai, Yuji Suzuki
  • Patent number: 6676106
    Abstract: A thin laminate film structure comprises a first metallic lamina formed on a surface of a first dielectric lamina and a third lamina formed on a surface of the first metallic lamina opposite the first dielectric lamina. Electrical and mechanical properties of the thin laminate film structure may be determined and/or optimized separately. The first metallic lamina and the first dielectric lamina may comprise aluminized mylar. The third lamina may or may not be made of a dielectric material. The thin laminate film structure may further comprise a second metallic lamina formed on a surface of the third lamina opposite the first metallic lamina and a second dielectric lamina formed on a surface of the second metallic lamina opposite the third lamina. In such a case, the thin laminate film structure allows dual-direction actuation of electrostatically and/or magnetically driven devices, such as microdevice valves, in which the thin laminate film structure may be employed.
    Type: Grant
    Filed: December 28, 2000
    Date of Patent: January 13, 2004
    Assignee: Xerox Corporation
    Inventors: Lars E. Swartz, David K. Biegelsen
  • Patent number: 6673253
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: January 6, 2004
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6673254
    Abstract: Methods for fabricating a highly effective, micron-scale micro heat barrier structure and process for manufacturing a micro heat barrier based on semiconductor and/or MEMS fabrication techniques. The micro heat barrier has an array of non-metallic, freestanding microsupports with a height less than 100 microns, attached to a substrate. An infrared reflective membrane (e.g., 1 micron gold) can be supported by the array of microsupports to provide radiation shielding. The micro heat barrier can be evacuated to eliminate gas phase heat conduction and convection. Semi-isotropic, reactive ion plasma etching can be used to create a microspike having a cusp-like shape with a sharp, pointed tip (<0.1 micron), to minimize the tip's contact area. A heat source can be placed directly on the microspikes. The micro heat barrier can have an apparent thermal conductivity in the range of 10−6 to 10−7 W/m-K. Multiple layers of reflective membranes can be used to increase thermal resistance.
    Type: Grant
    Filed: December 19, 2001
    Date of Patent: January 6, 2004
    Assignee: Sandia Corporation
    Inventors: Albert C. Marshall, Stanley H. Kravitz, Chris P. Tigges, Gregory A. Vawter
  • Patent number: 6672325
    Abstract: An actuator assembly and method for making and using an actuator assembly. In one embodiment, the assembly includes an actuator body having an actuator channel with a first region and a second region. An actuator is disposed in the actuator channel and is movable when in a flowable state between a first position and a second position. A heater is positioned proximate to the actuator channel to heat the actuator from a solid state to a flowable state. A source of gas or other propellant is positioned proximate to the actuator channel to drive the actuator from the first position to the second position. The actuator has a higher surface tension when engaged with the second region of the channel than when engaged with the first region. Accordingly, the actuator can halt upon reaching the second region of the channel due to the increased surface tension between the actuator and the second region of the channel.
    Type: Grant
    Filed: December 16, 2002
    Date of Patent: January 6, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Jerome M. Eldridge
  • Patent number: 6673252
    Abstract: A method of fabricating a refractive silicon microlens by using micro-machining technology. The method of fabricating a refractive silicon microlens according to the present invention comprises the steps of forming a boron-doped region on a silicon substrate, and selectively removing regions of the substrate except for the boron-doped region to form a lens comprised of only the boron-doped region. With the method of the present invention, it is possible to fabricate a two-dimensional infrared silicon microlens array. By using such a two-dimensional infrared silicon microlens array in an infrared sensor, the detectivity of the infrared sensor can be increased by 3.4 times, which is the refraction index of silicon. In addition, the two-dimensional infrared silicon microlens array of the present invention can be used with commercial infrared telecommunication devices.
    Type: Grant
    Filed: December 29, 2000
    Date of Patent: January 6, 2004
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Choon Sup Lee, Chul Hi Han
  • Patent number: 6669683
    Abstract: Microchip delivery devices are provided that control both the rate and time of release of molecules. In one embodiment, an implantable microchip device is provided for the controlled delivery of drug molecules into a patient comprising at least one substrate; a plurality of reservoirs in the substrate; a release system which includes drug molecules for release, the release system being provided in each of the reservoirs; a reservoir cap positioned on or in each of the reservoirs over the release system, the reservoir cap comprising a material that undergoes a phase change in response to a change in temperature; and a heating means capable of selectively causing the phase change independently in each reservoir cap, to release the molecules from the reservoirs. The reservoirs can contain multiple drugs or other molecules in variable dosages. Each of the reservoirs of a single microchip can contain different molecules and/or different amounts and concentrations, which can be released independently.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: December 30, 2003
    Assignee: MicroCHIPS, Inc.
    Inventors: John T. Santini, Jr., Michael J. Cima, Scott Albert Uhland
  • Patent number: 6666979
    Abstract: The present invention pertains to a method of fabricating a surface within a MEM which is free moving in response to stimulation. The free moving surface is fabricated in a series of steps which includes a release method, where release is accomplished by a plasmaless etching of a sacrificial layer material. An etch step is followed by a cleaning step in which by-products from the etch step are removed along with other contaminants which may lead to stiction. There are a series of etch and then clean steps so that a number of “cycles” of these steps are performed. Between each etch step and each clean step, the process chamber pressure is typically abruptly lowered, to create turbulence and aid in the removal of particulates which are evacuated from the structure surface and the process chamber by the pumping action during lowering of the chamber pressure. The final etch/clean cycle may be followed by a surface passivation step in which cleaned surfaces are passivated and/or coated.
    Type: Grant
    Filed: October 29, 2001
    Date of Patent: December 23, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey D. Chinn, Vidyut Gopal, Sofiane Soukane, Toi Yue Becky Leung
  • Patent number: 6667245
    Abstract: A microelectromechanical (MEM) switch is fabricated inexpensively by using processing steps which are standard for fabricating multiple metal layer integrated circuits, such as CMOS. The exact steps may be adjusted to be compatible with the process of a particular foundry, resulting in a device which is both low cost and readily integrable with other circuits. The processing steps include making contacts for the MEM switch from metal plugs which are ordinarily used as vias to connect metal layers which are separated by a dielectric layer. Such contact vias are formed on either side of a sacrificial metallization area, and then the interconnect metallization is removed from between the contact vias, leaving them separated. Dielectric surrounding the contacts is etched back so that they protrude toward each other. Thus, when the contacts are moved toward each other by actuating the MEM switch, they connect firmly without obstruction.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: December 23, 2003
    Assignee: HRL Laboratories, LLC
    Inventors: Lap-Wai Chow, Tsung-Yuan Hsu, Daniel J. Hyman, Robert Y. Loo, Paul Ouyang, James H. Schaffner, Adele Schmitz, Robert N. Schwartz
  • Publication number: 20030230548
    Abstract: Acid etching mixtures having water content, reduced by the addition of fluorosulfonic acid. The preparation and the use of said acid etching mixtures, particularly in etching silicon, are also disclosed.
    Type: Application
    Filed: June 18, 2002
    Publication date: December 18, 2003
    Inventors: Wolfgang Sievert, Kurt-Uwe Zimmermann, John A. McFarland, Michael A. Dodd
  • Patent number: 6663785
    Abstract: Embodiments of the present invention are directed to method of fabrication of a broadband emitter array. Embodiments of the present invention may grown a first set of emitters possessing a first quantum well characteristic (e.g., quantum well thickness or composition). A portion of the first set of emitters is removed by etching. In place of the removed emitters, a second set of emitters is regrown with said second set of emitters possessing a different quantum well characteristic. By fabricating the emitters sets in this manner, a unitary emitter array may be fabricated that possesses an increased bandwidth, e.g., the first and second sets of emitters may be associated with different center wavelengths. Embodiments of the present invention may utilize emitter arrays fabricated in this manner in, for example, incoherently beam combined (IBC) lasers and in Raman amplifier systems.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: December 16, 2003
    Assignee: nLight Photonics Corporation
    Inventors: Zhe Huang, Mark A. Devito, Mike P. Grimshaw, Paul A. Crump, Jason N. Farmer, Mark R. Pratt
  • Patent number: 6663788
    Abstract: A method of manufacturing a conformal grating device, that includes the steps of: forming a spacer layer on a substrate; removing portions of the spacer layer to define an active region with at least two channels and at least one intermediate support; forming a sacrificial layer in the active region; forming conductive reflective ribbon elements over the active region; and removing the sacrificial layer from the active region.
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: December 16, 2003
    Assignee: Eastman Kodak Company
    Inventors: Marek W. Kowarz, John A. Lebens
  • Patent number: 6663790
    Abstract: A method of manufacturing a mechanical grating device, including the steps of: forming a spacer layer on a substrate; removing portions of the spacer layer to define an active region; forming a sacrificial layer in the active region; forming conductive reflective ribbon elements over the active region; annealing the mechanical grating device at an annealing temperature greater than 150° C.; and removing the sacrificial layer from the active region to release the conductive reflective ribbon elements.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: December 16, 2003
    Assignee: Eastman Kodak Company
    Inventors: Marek W. Kowarz, John A. Lebens
  • Patent number: 6663784
    Abstract: A method is proposed for producing three-dimensional structures, especially microlenses, in a substrate using an etching process, at least one original shape having a known original surface shape being present initially on the substrate in a plurality of places. The etching process has at least one first etching removal rate a1 and a second etching removal rate a2 which are material-dependent, and of which at least one is changeable as a function of time. The original shape is converted to a target shape by the etching process, the original surface shape of the original shape and the target surface shape of the target shape to be reached being known before the beginning of the etching process. In order to achieve the target surface shape, at least one of the etching rates a2 or a1 is set by a change of at least one etching parameter calculated before the beginning of the etching process as a function of the etching time.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: December 16, 2003
    Assignee: Robert Bosch GmbH
    Inventors: Nils Kummer, Roland Mueller-Fiedler, Klaus Breitschwerdt, Andre Mueller, Frauke Driewer, Andreas Kern
  • Publication number: 20030226806
    Abstract: Devices for performing liquid extraction of one or more constituents from one fluid to another fluid are provided. In operation, the fluids are separated by channel structures that stabilize the interfacial boundary between the fluids allowing, for example, countercurrent flow and exchange or other flow conditions incompatible with unassisted maintenance of laminar flow. Also provided are channel structures which aid in mixing the fluids. Thin membranes may be formed using liquid extraction devices according to the invention. A process for manufacturing such devices using DRIE is described.
    Type: Application
    Filed: May 13, 2003
    Publication date: December 11, 2003
    Inventors: Lincoln C. Young, Peng Zhou, Michael D. Pinnisi, Ronald E. Marusak, James E. Moon
  • Patent number: 6661070
    Abstract: The present invention provides a micromechanical or microoptomechanical structure. The structure is produced by a process comprising defining a structure on a single crystal silicon layer separated by an insulator layer from a substrate layer; depositing and etching a polysilicon layer on the single crystal silicon layer, with remaining polysilcon forming mechanical or optical elements of the structure; exposing a selected area of the single crystal silicon layer; and releasing the formed structure.
    Type: Grant
    Filed: July 11, 2002
    Date of Patent: December 9, 2003
    Assignees: Microscan Systems, Inc., Xerox Corporation
    Inventors: Andrew J. Zosel, Joel A. Kubby, Peter M. Gulvin, Chuang-Chia Lin, Jingkuang Chen, Alex T. Tran
  • Publication number: 20030222048
    Abstract: A pattern forming material contains a block copolymer or graft copolymer and forms a structure having micro polymer phases, in which, with respect to at least two polymer chains among polymer chains constituting the block copolymer or graft copolymer, the ratio between N/(Nc−No) values of monomer units constituting respective polymer chains is 1.4 or more, where N represents total number of atoms in the monomer unit, Nc represents the number of carbon atoms in the monomer unit, No represents the number of oxygen atoms in the monomer unit.
    Type: Application
    Filed: January 22, 2003
    Publication date: December 4, 2003
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Koji Asakawa, Toshiro Hiraoka, Yoshihiro Akasaka, Yasuyuki Hotta
  • Publication number: 20030222049
    Abstract: A method for optically detecting a trench depth includes detecting a first maxima in an intensity of multi-wavelength light. A portion of the multi-wavelength light is reflected from a top trench surface. A second maxima in an intensity of multi-wavelength light is also detected. A portion of the multi-wavelength light is reflected from a bottom trench surface. A maxima peak separation between the first maxima and the second maxima is determined. The trench depth corresponds to the maxima peak separation.
    Type: Application
    Filed: April 30, 2003
    Publication date: December 4, 2003
    Applicant: LAM RESEARCH
    Inventor: Randall S. Mundt
  • Publication number: 20030222050
    Abstract: A solid state device is formed through thin film deposition techniques which results in a self-supporting thin film layer that can have a precisely defined channel bored therethrough. The device is useful in the chacterization of polymer molecules by measuring changes in various electrical characteristics as molecules pass through the channel. To form the device, a thin film layer having various patterns of electrically conductive leads are formed on a silicon substrate. Using standard lithography techniques, a relatively large or micro-scale aperture is bored through the silicon substrate which in turn exposes a portion of the thin film layer. This process does not affect the thin film. Subsequently, a high precision material removal process is used (such as a focused ion beam) to bore a precise nano-scale aperture through the thin film layer that coincides with the removed section of the silicon substrate.
    Type: Application
    Filed: June 13, 2003
    Publication date: December 4, 2003
    Inventors: Matthew P. Dugas, Gregory L. Wagner
  • Patent number: 6656029
    Abstract: In a semiconductor device having a front surface where circuits are formed and a back surface, a hemispherical solid immersion lens is formed at the back surface of the semiconductor device in a body with the semiconductor device.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: December 2, 2003
    Assignee: NEC Electronics Corporation
    Inventor: Hideki Kitahata
  • Patent number: 6656368
    Abstract: A method for manufacturing micromechanical components, and a micromechanical component, in which a movable element is produced on a sacrificial layer. In a subsequent step the sacrificial layer beneath the movable element is removed so that the movable element becomes movable. After removal of the sacrificial layer, a protective layer is deposited on a surface of the movable element. Silicon oxide and/or silicon nitride is used for the protective layer.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: December 2, 2003
    Assignee: Robert Bosch GmbH
    Inventors: Martin Schoefthaler, Peter Hein, Helmut Skapa, Horst Muenzel
  • Patent number: 6656369
    Abstract: A scanning probe microscope probe is formed by depositing probe material in a mold that has a cavity in a shape and of a size of the desired form of the scanning probe microscope probe that is being fabricated. In the preferred embodiment, the cavity is formed by lithographically defining, in the body of the mold, the shape and the size of the desired scanning probe microscope probe and etching the body of the mold to form the cavity. Prior to depositing the probe material in the cavity in the mold, the cavity is lined with a release layer which, upon activation after the probe has been formed, permits removal of the probe.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: December 2, 2003
    Assignee: International Business Machines Corporation
    Inventors: Mahadevaiyer Krishnan, Mark E. Lagus, Kevin S. Petrarca, James G. Ryan, Richard P. Volant
  • Patent number: 6653239
    Abstract: This invention relates to the construction of microfabricated devices and, in particular, to types of microfabricated devices requiring thermal isolation from the substrates upon which they are built. This invention discloses vertical thermal isolators and methods of fabricating the vertical thermal isolators. Vertical thermal isolators offer an advantage over thermal isolators of the prior art, which were substantially horizontal in nature, in that less wafer real estate is required for the use of the vertical thermal isolators, thereby allowing a greater density per unit area of the microfabricated devices.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: November 25, 2003
    Assignee: Xactix, Inc.
    Inventor: Kyle Lebouitz
  • Patent number: 6649947
    Abstract: A surface-micromachined rotatable member formed on a substrate and a method for manufacturing thereof are disclosed. The surface-micromachined rotatable member, which can be a gear or a rotary stage, has a central hub, and an annulus connected to the central hub by an overarching bridge. The hub includes a stationary axle support attached to the substrate and surrounding an axle. The axle is retained within the axle support with an air-gap spacing therebetween of generally 0.3 &mgr;m or less. The rotatable member can be formed by alternately depositing and patterning layers of a semiconductor (e.g. polysilicon or a silicon-germanium alloy) and a sacrificial material and then removing the sacrificial material, at least in part. The present invention has applications for forming micromechanical or microelectromechanical devices requiring lower actuation forces, and providing improved reliability.
    Type: Grant
    Filed: March 18, 2002
    Date of Patent: November 18, 2003
    Assignee: Sandia Corporation
    Inventors: M. Steven Rodgers, Jeffry J. Sniegowski, Thomas W. Krygowski
  • Patent number: 6647766
    Abstract: A device for contacting and/or modifying a surface having a cantilever connected to an almost plane carrier element staying apart from said surface, said cantilever having a tip at its loose end being in close contact to said surface. It is proposed that the cantilever stand out of the plane of said carrier element. Further, a method for producing the cantilever having a tip at its loose end. The device is suitable for thermomechanical writing and thermal readout of binary information, lithographic and imaging techniques, and for surface modification.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: November 18, 2003
    Assignee: International Business Machines Corporation
    Inventors: Michel Despont, Ute Drechsler, Mark I. Lutwyche, Hugo E. Rothuizen, Peter Vettiger
  • Patent number: 6649073
    Abstract: Problems caused by a nonuniform processing profile are avoided by altering the area to be processed so as to compensate for the processing profile. More specifically, with regard to etching, problems caused by a nonuniform etch profile can be avoided by altering the mask employed in specifying the etch area so as to compensate for the etch profile. Nonuniform parameters of interest of structures which result from a nonuniform etch profile during the etching of a mask in which all the structures were identical can be avoided for by altering the mask employed in specifying the etch area so as to compensate for the etch profile. The mask is changed in a manner that is inversely proportional to the etch profile for each particular structure characteristic that determines the parameter of interest for which uniformity is desired.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: November 18, 2003
    Assignee: Lucent Technologies Inc.
    Inventor: Cristian A Bolle
  • Publication number: 20030209514
    Abstract: A process for providing an aqueous back-end-of-line (BEOL) clean with feed-back control to monitor the active component of HF in the clean, for a wiring/interconnect of a reactive ion etched semiconductor device, comprising:
    Type: Application
    Filed: March 31, 2003
    Publication date: November 13, 2003
    Applicant: Infineon Technologies North America Corp.
    Inventors: Ravikumar Ramachandran, Klaus Penner, Marion Nichterwitz, Christiane Nitschke, Holger Krause
  • Publication number: 20030209513
    Abstract: A method and apparatus comprising a purge conduit and vent conduit attached to a turbo pump of a plasma etch chamber. The purge conduit may communicate with atmospheric air or with a nitrogen source or clean, dry air (CDA) source, and the vent conduit is fitted with a manual valve, an electric valve, or both, along with a flow restrictor and an end cap provided with an air or gas vent. The air flow restrictor facilitates gradual, rather than rapid, escape of air or gas from the chamber, through the turbo pump and from the vent conduit upon opening a gate valve between the chamber and the turbo, to prevent damage to the internal turbo pump components.
    Type: Application
    Filed: May 8, 2002
    Publication date: November 13, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jeng-Chiang Chuang
  • Publication number: 20030205551
    Abstract: A process is revealed whereby resistors can be manufactured integral with a printed circuit board by plating the resistors onto the insulative substrate. Uniformization of the insulative substrate through etching and oxidation of the plated resistor are discussed as techniques for improving the uniformity and consistency of the plated resistors. Trimming and baking are also disclosed as methods for adjusting and stabilizing the resistance of the plated resistors.
    Type: Application
    Filed: April 21, 2003
    Publication date: November 6, 2003
    Applicant: MacDermid, Incorporated
    Inventors: Peter Kukanskis, Dennis Fritz, Frank Durso, Steven Castaldi, David Sawoska
  • Publication number: 20030205553
    Abstract: Ruthenium, osmium and their oxides can be etched simply and rapidly by supplying an atomic oxygen-donating gas, typically ozone, to the aforementioned metals and their oxides through catalysis between the metals and their oxides, and the ozone without any damages to wafers and reactors and application of the catalysis not only to the etching but also to chamber cleaning ensures stable operation of reactors and production of high quality devices.
    Type: Application
    Filed: June 13, 2003
    Publication date: November 6, 2003
    Inventors: Miwako Nakahara, Toshiyuki Arai, Shigeru Ohno, Takashi Yunogami, Sukeyoshi Tsunekawa, Kazuto Watanabe
  • Publication number: 20030205552
    Abstract: A method of forming a membrane having nanometer scale pores includes forming an etch stop layer on a substrate and forming a base layer on the etch stop layer. Advantageously, a silicon nitride etch stop layer is formed on a silicon substrate and the base layer is a thermally grown oxide layer. Micron scale holes are etched through the base layer and, advantageously, partially through the underlying etch stop layer. A sacrificial base layer of controlled thickness is formed on the base layer and lining the holes. A thermally grown oxide is advantageously used as the sacrificial base layer. A plug layer is then formed on the base layer, on the sacrificial base layer and filling the holes. Polysilicon is advantageously used as the plug layer. The plug layer is planarized followed by the creation of an aperture in the backside of the wafer.
    Type: Application
    Filed: May 16, 2003
    Publication date: November 6, 2003
    Applicant: The Regents of the University of California
    Inventors: Derek J. Hansford, Mauro Ferrari
  • Publication number: 20030201241
    Abstract: A tool for embossing high aspect ratio microstructures is provided, wherein the microstructures provide decreased surface reflection and increased transmission through an optical component. The tool is fabricated by a process that comprises etching columnar pits in a silicon substrate using inductively coupled plasma, followed by reactive ion etching of the columnar pits to create relatively pointed obelisks. The silicon substrate is then preferably rinsed prior to vapor depositing a conductive layer thereon. Finally, a metal is electroformed over the conductive layer to form the embossing tool. The embossing tool is then pressed against an optical coating, for example a polymer sheet, to create microstructures having aspect ratios from 1 to 5.
    Type: Application
    Filed: May 16, 2003
    Publication date: October 30, 2003
    Inventors: Alan B. Harker, Jeffrey F. DeNatale, Dennis R. Strauss
  • Publication number: 20030201240
    Abstract: For maintenance after wet cleaning of a plasma processing apparatus which processes a specimen in a vacuum processing chamber by using a plasma, when restoration processing after the wet cleaning of members configuring the vacuum processing chamber is performed with the vacuum processing chamber opened to the atmosphere, it is automatically or semiautomatically judged whether the restoration processing is appropriate or not according to a predetermined optimum sequence inherent in the apparatus, and the next processing is started automatically or semiautomatically according to the results.
    Type: Application
    Filed: May 9, 2003
    Publication date: October 30, 2003
    Inventors: Hideyuki Yamamoto, Toshio Masuda, Shoji Ikujhara, Akira Kagoshima, Junichi Tanaka
  • Patent number: 6639735
    Abstract: Disclosed is a method for the fabrication of a spot-size converter with a lateral-tapered waveguide (or an active layer), which utilizes a mask during a lithographic process wherein the mask has a pad that can absorb strain to be occurred during forming a lateral-tapered waveguide pattern at its distal end and the lateral-tapered waveguide is fabricated by forming the distal end on the order of about 0.6 &mgr;m in width followed by forming the lateral-tapered waveguide on the order of 0.1 &mgr;m using an wet etching. Thus, it is possible to reduce a fabrication cost because it is free from a high-resolution electron beam lithography and a stepper, and hence enhance a reproducibility of the lateral-tapered waveguide because it is free from an excessive wet etching during the use of a contact exposure equipment.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: October 28, 2003
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Kyung-Hyun Park, Jong-Hoi Kim, Yong-Soon Baek, Moon-Ho Park, Sung-Bock Kim, Kwang-Ryong Oh
  • Publication number: 20030196989
    Abstract: A method for forming a copper containing microelectronic structure. There is first provided a substrate. There is then formed over the substrate a copper containing microelectronic structure comprising a copper containing layer and a non-copper containing layer, where the non-copper containing layer has formed thereupon a copper containing residue. Finally, there is then stripped from the non-copper containing layer the copper containing residue while employing a stripper composition comprising a non-aqueous coordinating solvent and a halogen radical producing specie. Additionally, the copper so dissolved may be recovered from a non-aqueously solvated copper halide compound dissolved within the non-aqueous solvent.
    Type: Application
    Filed: June 10, 2003
    Publication date: October 23, 2003
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Mei Sheng Zhou, Simon Chooi, Guo Qin Xu
  • Publication number: 20030196986
    Abstract: The present invention discloses a puddle etching method of thin film. In a spin etching equipment, pre-wet the wafer by D.I. water and etch solution to remove the contamination and the upper layer of the film on the wafer. Then spin slowly (about 0-50 rpm) and inject etching solution to form a puddle of etching solution which will stay on the wafer, the wafer then keep spin slowly (about 0-50 rpm) such that the puddle of etching solution stay on the wafer and etching is going on by puddle etching. After the thin film is etched, the wafer is spin at higher speed, and D.I. water is injected to rinse. Then, IPA is used to remove the D.I. water by Marangoni effect. Finally raise the speed to dry the wafer.
    Type: Application
    Filed: July 5, 2002
    Publication date: October 23, 2003
    Inventors: Kang Tsung-Kuei, Hsieh Yue Ho, Chih-Cheng Wang, Hsiao Shih-Yi
  • Publication number: 20030196988
    Abstract: Process for producing an SPM sensor having a holding element, a cantilever and a sensor tip which projects out of the surface of the cantilever and is delimited by three surfaces. According to the process, the starting material used is a (100)-silicon wafer. The main patterning process steps are carried out on the wafer back surface, so that an SPM sensor can be produced at low cost in a single batch run.
    Type: Application
    Filed: March 10, 2003
    Publication date: October 23, 2003
    Inventor: Stefan Lutter
  • Publication number: 20030196987
    Abstract: The present invention discloses an ultra fine patterning process for multi-layer substrate by using selective deposition resist which inhibits metal nucleation during metal deposition process. The present invention can be executed by a fine pattern stamp adsorbing the self-assembled monolayers (SAM), then proceeds the stamping process on a surface of a substrate to achieve the selective deposited SAM with ultra fine pattern. Then, the metal deposition process will be proceeded to make metal deposited selectively on the portion not covered by the SAM to form the patterned metal layer directly.
    Type: Application
    Filed: October 14, 2002
    Publication date: October 23, 2003
    Inventors: Moriss Kung, Kwun-Yao Ho
  • Patent number: 6632374
    Abstract: Optical cross-connect systems involve the general concept of a two dimensional array of MEMS tilt mirrors being used to direct light coming from a first optical fiber to a second optical fiber. Each MEMS tilt mirror in the two dimensional array can tilt about two non-colinear axes and is suspended by a plurality of suspension arms attached to a silicon on insulator substrate.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: October 14, 2003
    Assignee: Xerox Corporation
    Inventors: Michel A. Rosa, Eric Peeters
  • Patent number: 6632373
    Abstract: Optical cross-connect systems involve the general concept of a two dimensional array of MEMS tilt mirrors being used to direct light coming from a first optical fiber to a second optical fiber. Each MEMS tilt mirror in the two dimensional array can tilt about two non-colinear axes and is suspended by a plurality of suspension arms attached to a substrate.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: October 14, 2003
    Assignee: Xerox Corporation
    Inventors: Michel A. Rosa, Eric Peeters, David K. Fork
  • Publication number: 20030189024
    Abstract: Openings of variable shape are made sequentially by alternately etching an opening in silicon and depositing a conformal fluorocarbon polymer on the sidewalls. This polymer protects the sidewalls of the opening from further etching. An isotropic etch can be carried out to change the profile of the etched feature, and for lift-off of the etched feature from the silicon substrate.
    Type: Application
    Filed: April 8, 2002
    Publication date: October 9, 2003
    Applicant: Applied Materials Inc.
    Inventors: Anisul Khan, Sharma V. Pamarthy, Sanjay Thekdi, Ajay Kumar
  • Patent number: 6625874
    Abstract: A method of forming a thermal bend actuator (6) is provided with upper arms (23, 25, 26) and lower arms (27, 28) which are non planar, so increasing the stiffness of the arms. The arms (23, 25, 26, 27, 28) may be spaced transversely of each other and do not overly each other in plan view, so enabling all arms to be formed by depositing a single layer of arm forming material.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: September 30, 2003
    Assignee: Silverbrook Research Pty Ltd
    Inventor: Kia Silverbrook
  • Patent number: 6622872
    Abstract: A micromachined membrane particle filter is formed by making holes in a silicon derivative overlayer and coating over the holes with Parylene.
    Type: Grant
    Filed: November 6, 1998
    Date of Patent: September 23, 2003
    Assignee: California Institute of Technology
    Inventors: Yu-Chong Tai, Xing Yang
  • Publication number: 20030174383
    Abstract: An arbitrary gap between the two chips of a MEMS device arranged in a flip-chip arrangement is achieved by etching into a first substrate to form mesas which act as spacers between which, or even on which, any required circuit elements are formed. Points of a layer at a first surface of the second substrate within which MEMS structures are made are bonded to the mesas of the first substrate. The second substrate is then removed, leaving the structures bonded to the mesas. The mesas may be formed by placing a hard mask, such as silicon oxide, which defines the desired pattern of mesas on the first substrate, and then etching the unmasked portion of the substrate using a mixture of potassium hydroxide (KOH) with isopropanol (IPA) or, tetramethyl ammonium hydroxide (TMAH) mixed with a surfactant, e.g., nonylphenol ethoxy ether or other equivalent compounds.
    Type: Application
    Filed: March 12, 2002
    Publication date: September 18, 2003
    Inventors: Cristian A. Bolle, Mark Anthony Paczkowski
  • Publication number: 20030173329
    Abstract: A polishing slurry for an aluminum-based metal includes an oxidizing agent having a standard electrode potential of 1.7 V or more, amino acid or amino acid compound, and bi- or higher than bi-valent aromatic carboxylic acid having a carbocycle or a heterocycle.
    Type: Application
    Filed: March 5, 2003
    Publication date: September 18, 2003
    Applicant: KABUSHIKI KAISHA TOSHIBA.
    Inventors: Yukiteru Matsui, Gaku Minamihaba
  • Patent number: 6620496
    Abstract: A method for removing a surface protrusion projecting from a layer of a first material deposited on a surface of a substrate. In accordance with one embodiment of the invention, a layer of a second material is applied on the layer of first material. A sufficient quantity of the second material is removed to expose the surface protrusion. The first material exposed through the surface protrusion is then removed.
    Type: Grant
    Filed: November 16, 2001
    Date of Patent: September 16, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, James J. Alwan
  • Patent number: 6620331
    Abstract: The invention relates to a method for etching an opening, and more precisely, to etching in a silicon plate for creating a nozzle opening. According to the invention, one side of the silicon plate (1) is protected by a protective layer (2), and a recess (5) is made in the protective layer. Etching is made anisotropically through the recess so as to create a cavity (4) in the shape of a truncated pyramid of a predetermined depth in the silicon plate. The cavity is doped so as to create a doped layer (3) at the predetermined depth. The etching is then continued until the bottom surface of the cavity has passed the doped layer. Subsequently, etching is performed from the other side, while a voltage is applied to the doped layer, so as to free the nozzle opening at the other side. The invention enables an accurate control of the surface area of the nozzle opening. Through this, the amount of discharged fluid and the directional precision can be controlled very accurately.
    Type: Grant
    Filed: January 9, 2001
    Date of Patent: September 16, 2003
    Inventors: Thomas Laurell, Johan Drott, Johan Nilsson, Lars Wallman
  • Publication number: 20030168427
    Abstract: A process for fabricating a product 28, 119. The process comprises the steps of subjecting a substrate to a composition of entities, at least one of the entities emanating from a species generated by a gaseous discharge excited by a high frequency field in which the vector sum of currents to phase and inverse-phase capacitive coupled voltages from the inductive coupling structure can be selectively maintained.
    Type: Application
    Filed: November 18, 1996
    Publication date: September 11, 2003
    Inventors: DANIEL L. FLAMM, Georgy Vinogradov, Shimao Yoneyama
  • Patent number: 6616853
    Abstract: A method is provided for preventing dopant leaching from a doped structural film during fabrication of a microelectromechanical system. A microstructure that includes the doped structural film, sacrificial material, and metallic material is produced with a combination of deposition, patterning, and etching techniques. The sacrificial material is dissolved with a release solution that has a substance destructive to the sacrificial material. This substance also acts as an electrolyte, forming a galvanic cell with the doped structural film and metallic material acting as electrodes. The effects of the galvanic cell are suppressed by including a nonionic detergent mixed in the release solution.
    Type: Grant
    Filed: March 5, 2001
    Date of Patent: September 9, 2003
    Assignee: Network Photonics, Inc.
    Inventors: Bevan Staple, David Miller, Lilac Muller