Mask Is Exposed To Nonimaging Radiation Patents (Class 216/48)
  • Patent number: 11955318
    Abstract: A method for recovering ashing rate in a plasma processing chamber includes positioning a substrate in a processing volume of a processing chamber, wherein the substrate has a silicon chloride residue formed thereon. The method further includes evaporating the silicon chloride residue from the substrate. The method further includes depositing the evaporated silicon chloride on one or more interior surfaces in the processing volume. The method further includes exposing the deposited silicon chloride to an oxidizing environment to convert the deposited silicon chloride to a silicon oxide passivation layer. The oxidizing environment can comprise an oxygen-containing plasma, oxygen radicals, or a combination thereof.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: April 9, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Yongkwan Kim, Changhun Lee, Kyeong-Tae Lee, Chung Hoan Kim, Youngmin Shin
  • Patent number: 11948608
    Abstract: An apparatus such as a device having a writer for magnetic media has a trailing pole piece having a portion adjacent a write gap, the portion having a throat height (h1) of between about 100 and about 800 nm, and an aspect ratio of thickness/throat height (t1/h1) in a range of 0.5 and 2.
    Type: Grant
    Filed: February 25, 2022
    Date of Patent: April 2, 2024
    Assignee: International Business Machines Corporation
    Inventors: Hugo E. Rothuizen, Icko E. T. Iben, Robert Fontana, Mark Alfred Lantz
  • Patent number: 11899228
    Abstract: A process for obtaining a decorative mirror includes reflective regions forming a pattern and non-reflective regions, the process including providing a sheet of soda-lime-silica glass coated with a reflective coating on the entirety of one of the faces thereof, then applying a composition including a phosphate salt to the reflective coating, solely in application regions, the application regions being intended to become the non-reflective regions, then tempering the glass sheet, in which the glass sheet is subjected to a temperature of at least 550° C., causing the reflective coating to dissolve in the application regions so as to form the non-reflective regions in which the glass sheet is not coated.
    Type: Grant
    Filed: July 12, 2019
    Date of Patent: February 13, 2024
    Assignee: SAINT-GOBAIN GLASS FRANCE
    Inventors: Juliette Maria, Alexia Yon
  • Patent number: 11856699
    Abstract: An inductor built-in substrate includes a core substrate having openings and first through holes formed therein, a magnetic resin filling the openings and having second through holes formed therein, first through-hole conductors formed in the first through holes respectively such that each of the first through-hole conductors includes an electroless plating film and an electrolytic plating film, and second through-hole conductors formed in the second through holes respectively such that each of the second through-hole conductors includes an electroless plating film and an electrolytic plating film. The first through-hole conductors and the second through-hole conductors are formed such that a thickness of the electroless plating film in the first through-hole conductors is larger than a thickness of the electroless plating film in the second through-hole conductors.
    Type: Grant
    Filed: December 16, 2020
    Date of Patent: December 26, 2023
    Assignee: IBIDEN CO., LTD.
    Inventors: Satoru Kawai, Yasuki Kimishima
  • Patent number: 11852985
    Abstract: An imprint method includes supplying a first photocurable resist to a first region of an object; irradiating the first resist with first light; forming a second resist over the object; bringing a template into contact with the second resist; and irradiating at least the second resist with second light through the template while the template is in contact with the second resist.
    Type: Grant
    Filed: September 3, 2021
    Date of Patent: December 26, 2023
    Assignee: KIOXIA CORPORATION
    Inventors: Takeshi Higuchi, Anupam Mitra, Takahiro Iwasaki
  • Patent number: 11823910
    Abstract: Methods are provided for planarizing a patterned substrate in a spatial atomic layer processing system comprising a rotating platen. The patterned substrate may generally include features having higher regions and lower regions. To planarize the patterned substrate, or reduce a height differential between the higher and lower regions, a selective atomic layer etching (ALE) process is disclosed to preferentially form a modified layer on the higher regions of the features by exposing a surface of the patterned substrate to a precursor gas while the rotating platen spins at a high rotational speed. By preferentially forming the modified layer on the higher regions of the features, and subsequently removing the modified layer, the selective ALE process described herein preferentially etches the higher regions of the features to lessen the height differential between the higher and lower regions until a desired planarization of the features is achieved.
    Type: Grant
    Filed: July 31, 2020
    Date of Patent: November 21, 2023
    Assignee: Tokyo Electron Limited
    Inventors: David O'Meara, Anthony Dip, Masanobu Igeta
  • Patent number: 11813889
    Abstract: Provided is a method for manufacturing a component provided with a decoration by laser light. The method includes sequentially irradiating a target region in a surface of the component with laser light so as to draw decorative patterns in an overlaid manner, each decorative pattern being composed of a plurality of lines.
    Type: Grant
    Filed: May 8, 2019
    Date of Patent: November 14, 2023
    Assignee: CITIZEN WATCH CO., LTD.
    Inventors: Shosaku Aruga, Isao Setojima
  • Patent number: 11802999
    Abstract: In order to realize a light absorber wherein the wavelengths absorbed can be adjusted, an embodiment of the present invention provides a light absorber 100 provided with a group of dielectric protrusions 102, a conductive thin film 104, and a conductive thick film 108. The group of dielectric protrusions has each dielectric protrusion protruding at a random position on a dielectric surface. A dielectric thin film is disposed on or above at least part of the surface of the dielectric protrusions and on or above at least part of the dielectric surface where the dielectric protrusions are not present; and the dielectric thick film spreads out along the dielectric surface and being kept separate from the dielectric thin film. The group of dielectric protrusions can be provided by disposition of dielectric particles in an in-plane random arrangement on the surface.
    Type: Grant
    Filed: August 24, 2017
    Date of Patent: October 31, 2023
    Assignee: RIKEN
    Inventors: Kentaro Takatori, Takayuki Okamoto
  • Patent number: 11772127
    Abstract: Described are techniques for applying a cured polymeric blanket coating onto a surface, specifically for applying a blanket-coated cured polymeric coating onto a surface of a substrate that is useful as an electrostatic chuck for processing semiconductor wafers.
    Type: Grant
    Filed: July 18, 2022
    Date of Patent: October 3, 2023
    Assignee: ENTEGRIS, INC.
    Inventors: Yan Liu, Yuxuan Liu, Chandra Venkatraman, Carlo Waldfried
  • Patent number: 11765826
    Abstract: Electronic substrates, contact pads for electronic substrates, and related methods are disclosed. Electronic substrates may include an electrically conductive layer that forms at least one contact pad and at least one metal trace on a non-conductive layer. The contact pads are arranged with greater thicknesses or heights above the non-conductive layer than the metal traces. Dielectric layers are disclosed that cover the metal traces while leaving top surfaces of the contact pads exposed. Top surfaces of the dielectric layers may be arranged to be coplanar with top surfaces of the contact pads to provide electronic substrates having generally planar top faces. Bottom faces of electronic substrates may include mounting pads that are coplanar with additional dielectric layers. Methods are disclosed that include forming dielectric layers to cover contact pads and metal traces, and removing surface portions of the dielectric layers until the contact pads are accessible through the dielectric layers.
    Type: Grant
    Filed: January 25, 2021
    Date of Patent: September 19, 2023
    Assignee: Qorvo US, Inc.
    Inventors: John August Orlowski, Thomas Scott Morris, David Jandzinski
  • Patent number: 11693308
    Abstract: A molding apparatus for molding a composition on a substrate using a mold includes a moving unit configured to hold and move the substrate and a gaseous matter supplying unit configured to supply gaseous matter. The gaseous matter supplying unit includes a supply port arranged in a periphery of the substrate held by the moving unit, and supplies the gaseous matter from the supply port while the moving unit is moving the substrate after the composite is supplied to the molding area in the periphery of the substrate.
    Type: Grant
    Filed: April 19, 2019
    Date of Patent: July 4, 2023
    Assignee: Canon Kabushiki Kaisha
    Inventor: Keiji Yamashita
  • Patent number: 11631524
    Abstract: In an exemplary embodiment, a coil component includes: an element body part 10 and a coil 30 of spiral shape constituted by multiple turn units 32 connected in a coil axial direction; wherein each turn unit 32 has, in a cross-sectional view in the width direction of the turn unit 32, a flat side 40 that extends in a second direction substantially perpendicular to the coil axis of the coil 30; and the point of intersection 48 between a figure line 42 corresponding to the longest part in a first direction, and a figure line 44 corresponding to the longest part in the second direction, with respect to the coil axis, is positioned on the figure line 42 within one-quarter of the figure line away from one end 50 on the side 40 or from the other end 52 opposing the side 40.
    Type: Grant
    Filed: May 20, 2021
    Date of Patent: April 18, 2023
    Assignee: TAIYO YUDEN CO., LTD.
    Inventors: Yoshikazu Maruyama, Noriyuki Mabuchi, Ichiro Yokoyama, Masataka Kohara, Keiichi Nozawa, Masakazu Okazaki, Hideaki Hoshino, Tomoyuki Oyoshi, Takehumi Yamada, Chikako Yoshida
  • Patent number: 11629413
    Abstract: A method for the production of a metal strip is provided. The method includes providing an amorphous metal strip having a first main surface and a second, opposing main surface. The first and/or the second main surface are treated with a wet-chemical etching process and/or a photochemical etching process.
    Type: Grant
    Filed: August 13, 2020
    Date of Patent: April 18, 2023
    Assignee: VACUUMSCHMELZE GMBH & CO. KG
    Inventors: Christian Polak, Thomas Strache
  • Patent number: 11561472
    Abstract: A radiation sensitive composition including a siloxane polymer exhibiting phenoplast crosslinking reactivity as a base resin, which is excellent in resolution and can be used as a radiation sensitive composition capable of allowing a pattern having a desired-shape to be formed with sufficient precision. A radiation sensitive composition including as a silane, a hydrolyzable silane, a hydrolysis product thereof, or a hydrolysis-condensation product thereof; and a photoacid generator, in which the hydrolyzable silane includes hydrolyzable silanes of Formula (1) R1aR2bSi(R3)4-(a+b)??Formula (1) wherein R1 is an organic group of Formula (1-2) and is bonded to a silicon atom through a Si—C bond or a Si—O bond, and R3 is a hydrolyzable group; and Formula (2) R7cR8dSi(R9)4-(c+d)??Formula (2) wherein R7 is an organic group of Formula (2-1) and is bonded to a silicon atom through a Si—C bond or a Si—O bond, and R9 is a hydrolyzable group.
    Type: Grant
    Filed: June 7, 2016
    Date of Patent: January 24, 2023
    Assignee: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Makoto Nakajima, Kenji Takase, Satoshi Takeda, Wataru Shibayama
  • Patent number: 11511519
    Abstract: This application relates to a part for a portable electronic device. The part includes a titanium alloy substrate including a network of branching channels. The branching channels include a first channel and a second channel, where the first channel is defined by a first channel wall that extends away from a first opening in the exterior surface, and the second channel is defined by a second channel wall that extends away from a second opening in the first channel wall.
    Type: Grant
    Filed: May 5, 2020
    Date of Patent: November 29, 2022
    Assignee: APPLE INC.
    Inventors: James A. Curran, Todd S. Mintz, Isabel Yang
  • Patent number: 11358331
    Abstract: A system is disclosed for additively manufacturing a composite structure. The system may include a print head configured to discharge a continuous reinforcement that is at least partially coated in a matrix, and a compactor configured to compact the continuous reinforcement and the matrix. The system may also include a cure enhancer configured to direct a path of cure energy toward the matrix after discharge, wherein the path of cure energy passes through at least a portion of the compactor.
    Type: Grant
    Filed: July 18, 2019
    Date of Patent: June 14, 2022
    Assignee: Continuous Composites inc.
    Inventors: Kyle Frank Cummings, Marcus Raye Vincent Brodie, Samuel VanDenBerg, Ryan C. Stockett
  • Patent number: 11256174
    Abstract: A pattern is formed by (i) applying a chemically amplified positive resist composition comprising (A) a base resin, (B) a photoacid generator, (C) an organic solvent, and (D) a polyvinyl alcohol or polyvinyl alkyl ether onto a substrate to form a resist film thereon, (ii) exposing the resist film to radiation, and (iii) dry etching the resist film with an oxygen-containing gas for development. Using the chemically amplified positive resist composition, a positive pattern is formed via dry development without a need for silylation.
    Type: Grant
    Filed: February 21, 2018
    Date of Patent: February 22, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yoshinori Hirano, Hideyoshi Yanagisawa
  • Patent number: 11226549
    Abstract: Provided is a mask blank for a phase shift mask having an etching stopper film, which satisfies the characteristics: higher durability to dry etching with fluorine-based gas that is used during the shift pattern formation as compared to that of a transparent substrate; high resistance to chemical cleaning; and high transmittance of exposure light. The mask blank includes a light shielding film on a main surface of a transparent substrate, having a structure where an etching stopper film, a phase shift film, and a light shielding film are laminated in this order on the transparent substrate; wherein the phase shift film includes a material containing silicon and oxygen; and the etching stopper film includes a material containing silicon, aluminum, and oxygen.
    Type: Grant
    Filed: June 20, 2016
    Date of Patent: January 18, 2022
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Hiroaki Shishido
  • Patent number: 11179882
    Abstract: A system (1) for constructing a component, contains a trough (13) with an at least partly transparent base (23), an at least partly stretchable semipermeable layer (7), for receiving a photosensitive substance (5), and a phase (9) arranged below the semipermeable layer (7) and forms an intermediate layer (11) within the photosensitive substance (5). A light source (25) is below the trough base (23) for curing the photoreactive substance (5) in some regions. A construction platform (31) is above the semipermeable layer (7) and can be lifted and lowered for receiving the component (3), as well as with a driver (15) which extends from the semipermeable layer (7) in the direction of the construction platform (31) and can be moved relative to the trough base (23) to convey the photoreactive substance (5) into a gap (20) between the construction platform (31) and the semipermeable layer (7).
    Type: Grant
    Filed: March 23, 2017
    Date of Patent: November 23, 2021
    Inventor: Klaus Stadlmann
  • Patent number: 11155038
    Abstract: [Solving Means] An information processing apparatus to be used for a modeling apparatus including a stage, a regulation member, an irradiation unit, and a moving mechanism and includes an acquisition unit, an irradiation position control unit, and a compensation unit. The acquisition unit is configured to acquire the plurality of slice image data items. The irradiation position control unit is configured to variably control, in accordance with the number of laminated layers of a material, an irradiation position of the energy ray to the material in a direction of relative movement of the stage and the regulation member within a modeling surface of the stage. The compensation unit is configured to compensate for a reference position of at least one slice image data item of the plurality of slice image data items in accordance with a change of the irradiation position.
    Type: Grant
    Filed: November 13, 2017
    Date of Patent: October 26, 2021
    Assignee: SONY CORPORATION
    Inventors: Nobuhiro Kihara, Akira Kondo, Motohiko Watanabe, Yedan Sun
  • Patent number: 10942452
    Abstract: A method of making microstructures, including: setting a photoresist layer on a surface of a base; covering a surface of the photoresist layer with a photolithography mask plate, wherein the photolithography mask plate includes: a substrate; a patterned chrome layer on a surface of the substrate; a carbon nanotube layer on the patterned chrome layer, wherein a first pattern of the patterned chrome layer is the same as a second pattern of the carbon nanotube layer; a cover layer on the carbon nanotube layer; exposing the photoresist layer to form an exposed photoresist layer by irradiating the photoresist layer through the photolithography mask plate with ultraviolet light; and developing the exposed photoresist layer to obtain a patterned photoresist microstructures.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: March 9, 2021
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 10942453
    Abstract: A method of making microstructures, including: setting a photoresist layer on a base; covering the photoresist layer with a photolithography mask plate, wherein the photolithography mask plate includes: a substrate; a carbon nanotube layer on the substrate; a patterned chrome layer on the carbon nanotube layer so that the carbon nanotube layer is sandwiched between the patterned chrome layer and the substrate, wherein a first pattern of the patterned chrome layer is the same as a second pattern of the carbon nanotube layer; a cover layer on the patterned chrome layer; exposing the photoresist layer to form an exposed photoresist layer by irradiating the photoresist layer through the photolithography mask plate with ultraviolet light; and developing the exposed photoresist layer to obtain a patterned photoresist microstructures.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: March 9, 2021
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 10942454
    Abstract: A method of making microstructures, including: setting a photoresist layer on a surface of a base; covering a surface of the photoresist layer with a photolithography mask plate, wherein the photolithography mask plate includes: a substrate; a carbon nanotube composite structure on a surface of the substrate, wherein the carbon nanotube composite structure includes a carbon nanotube layer and a chrome layer coated on the carbon nanotube layer; and a cover layer on the carbon nanotube composite structure; exposing the photoresist layer to form an exposed photoresist layer by irradiating the photoresist layer through the photolithography mask plate with ultraviolet light; and developing the exposed photoresist layer to obtain a patterned photoresist microstructures.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: March 9, 2021
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 10856422
    Abstract: Method of forming a pattern by arranging a photocurable composition on a substrate; bringing a mold having a concavo-convex pattern into contact with the composition; irradiating the composition with light to form a cured film; releasing the mold from the cured film; forming a reversal layer on the cured film having a concavo-convex pattern transferred from the mold; partially removing the reversal layer to expose the convexes of the pattern in such a manner that the reversal layer remains in the concaves of the pattern formed on the cured film; and etching the photocurable composition layer using the reversal layer remaining in the concaves as a mask to form a reversal pattern, wherein the mold is brought into contact with the photocurable composition in an atmosphere of a soluble gas having a solubility in the composition; and the soluble gas has a saturation solubility of 38% by volume or more.
    Type: Grant
    Filed: January 27, 2016
    Date of Patent: December 1, 2020
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiki Ito, Shiori Yonezawa, Keiko Chiba, Akiko Iimura
  • Patent number: 10835395
    Abstract: A tack device for holding plaque against blood vessel walls in treating atherosclerotic occlusive disease can be formed as a thin, annular band of durable, flexible material. The tack device may also have a plurality of barbs or anchoring points on its outer annular periphery. The annular band can have a length in the axial direction of the blood vessel walls that is about equal to or less than its diameter as installed in the blood vessel. A preferred method is to perform angioplasty with a drug eluting balloon as a first step, and if there is any dissection to the blood vessel caused by the balloon angioplasty, one or more tack devices may be installed to tack down the dissected area of the blood vessel surface.
    Type: Grant
    Filed: December 10, 2013
    Date of Patent: November 17, 2020
    Assignee: Intact Vascular, Inc.
    Inventors: Peter Schneider, Robert M. Giasolli
  • Patent number: 10818512
    Abstract: Methods and systems herein enable selective removal of ruthenium (Ru) metal at high throughput, and without potentially damaging effects of plasma. Techniques include a photo-assisted chemical vapor etch (PCVE) method to selectively remove Ru metal as a volatile species. A substrate with ruthenium surfaces is positioned within a processing chamber. A photo-oxidizer is received in vapor form in the processing chamber. The photo-oxidizer is a species that generates reactive oxygen species in response to actinic radiation. Reactive oxygen species are then generated by irradiation of the photo-oxidizer, such as with ultraviolet radiation. The reactive oxygen species react with ruthenium surfaces causing the ruthenium surfaces to become oxidized. Oxidized ruthenium is then removed from the substrate, such as be vaporization.
    Type: Grant
    Filed: January 27, 2020
    Date of Patent: October 27, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Omid Zandi, Jacques Faguet
  • Patent number: 10606167
    Abstract: A photolithography mask plate, the photolithography mask plate including: a substrate; a carbon nanotube composite structure on a surface of the substrate, wherein the carbon nanotube composite structure comprises a carbon nanotube layer and a chrome layer coated on the carbon nanotube layer; a cover layer on the carbon nanotube composite structure.
    Type: Grant
    Filed: August 23, 2017
    Date of Patent: March 31, 2020
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 10571798
    Abstract: A photolithography mask plate, the photolithography mask plate including: a substrate; a carbon nanotube layer located on the substrate; a patterned chrome layer located on the carbon nanotube layer, wherein the patterned chrome layer and the carbon nanotube layer have the same pattern; a cover layer located on the patterned chrome layer.
    Type: Grant
    Filed: August 23, 2017
    Date of Patent: February 25, 2020
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 10564539
    Abstract: A photolithography mask plate, the photolithography mask plate including: a substrate; a carbon nanotube layer on the substrate; a patterned chrome layer on the carbon nanotube layer, wherein the patterned chrome layer and the carbon nanotube layer have the same pattern; a cover layer on the patterned chrome layer.
    Type: Grant
    Filed: August 23, 2017
    Date of Patent: February 18, 2020
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Mo Chen, Qun-Qing Li, Li-Hui Zhang, Yuan-Hao Jin, Dong An, Shou-Shan Fan
  • Patent number: 10351468
    Abstract: A method for fabricating a light emitting body protection glass for a quantum dot (QD) display is disclosed, which fabricates a quantum dot (QD) hole having a minimum difference in width between a top surface and a bottom surface of the glass.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: July 16, 2019
    Assignees: JINWOO ENGINEERING CO., LTD.
    Inventors: Taehwan Kim, Hyunyoung Lim
  • Patent number: 10117761
    Abstract: An endoprosthesis includes an expandable tubular framework having a first end, a second end, and a lumen extending therethrough along a longitudinal axis. The expandable tubular framework includes a plurality of interconnected struts. The interconnected struts include a radially outward face which includes a plurality of anti-migration grooves defining anti-migration teeth formed therebetween. The anti-migration teeth are configured to engage tissue to resist migration of the endoprosthesis within a body lumen.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: November 6, 2018
    Assignee: BOSTON SCIENTIFIC SCIMED, INC.
    Inventors: Seamus F. O'Shaughnessy, Gary J. Leanna, Jason Weiner, Dane T. Seddon, Sean P. Fleury
  • Patent number: 9938420
    Abstract: A method of manufacturing metallic articles from a metal substrate includes the steps of: a) jetting an image with a UV curable inkjet ink on at least one surface of the metallic substrate; b) UV curing the image; c) electroplating or acidic etching the at least one metallic surface not covered by the UV cured image; and d) stripping or solubilizing the UV cured image by an aqueous alkaline solution; wherein the UV curable inkjet ink has a viscosity of no more than 100 mPa·s at 25° C. and at a shear rate of 1,000 s?1; and wherein the UV curable inkjet ink contains a specific polymerizable composition and up to 20 wt % of photoinitiator including at least one of an acyl phosphine oxide photoinitiator and a thioxanthone photoinitiator.
    Type: Grant
    Filed: June 1, 2015
    Date of Patent: April 10, 2018
    Assignee: AGFA-GEVAERT
    Inventors: Rita Torfs, Roel De Mondt, Blanca Maria Pastor Ramirez, Johan Loccufier
  • Patent number: 9895159
    Abstract: A multiwire unit having a plurality of wire sections which are formed in one piece from a tubular piece whose tubular jacket is subdivided in at least an axial subsection by a plurality of axial slots. The wire pieces remain interlinked at a front end section at a distance to a front tube face, and assume a bent functional state downstream of the wire linking area in a defined functional state of the multiwire unit. The front wire linking area, in the functional state of the multiwire unit, is shaped to an essentially tipless front end closure. For this purpose, the axial slots end at an appropriate small axial distance to the front tube face. The multiwire unit may be formed as, for example, a wire basket unit or a wire filter unit for medical instruments.
    Type: Grant
    Filed: November 5, 2005
    Date of Patent: February 20, 2018
    Assignee: EPflex Feinwerktechnik GmbH
    Inventor: Bernhard Uihlein
  • Patent number: 9788933
    Abstract: An aortic stent-graft may include a tubular graft extending from a proximal end to a distal end, the graft comprising a proximal sealing portion and an intermediate portion, wherein a proximal end of the intermediate portion abuts the distal end of the proximal sealing portion. At least one sealing stent may be attached to the proximal sealing portion. A first fenestration window is disposed in the intermediate portion. The first fenestration window has a length determined by the equation L=1.23*D?24 millimeters, where L is the length of the first fenestration window. D is between about 24 millimeters and 45 millimeters.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: October 17, 2017
    Assignee: Cook Medical Technologies LLC
    Inventors: Jichao Sun, Jarin Kratzberg, David D. Grewe, Kenneth Haselby, Matthew S. Huser, Steven J. Charlebois, William Kurt Dierking, Alan R. Leewood, Brandt M. Davis, Blayne A. Roeder
  • Patent number: 9778562
    Abstract: An imprint lithography template or imprinting stack includes a porous material defining a multiplicity of pores with an average pore size of at least about 0.4 nm. A porosity of the porous material is at least about 10%. The porous template, the porous imprinting stack, or both may be used in an imprint lithography process to facilitate diffusion of gas trapped between the template and the imprinting stack into the template, the imprinting stack or both, such that polymerizable material between the imprinting stack and the template rapidly forms a substantially continuous layer between the imprinting stack and the template.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: October 3, 2017
    Assignee: Canon Nanotechnologies, Inc.
    Inventors: Frank Y. Xu, Weijun Liu, Edward Brian Fletcher, Sidlgata V. Sreenivasan, Byung Jin Choi, Niyaz Khusnatdinov, Anshuman Cherala, Kosta S. Selinidis
  • Patent number: 9748100
    Abstract: There is provided a method of processing a substrate using a block copolymer composed of a first polymer containing an oxygen atom and a second polymer containing no oxygen atom, the method including: coating the block copolymer onto the substrate on which a predetermined pattern is formed; phase-separating the block copolymer into the first polymer and the second polymer; and heating the substrate in a low oxygen atmosphere to selectively remove the first polymer from the phase-separated block copolymer.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: August 29, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tomonori Esaki, Shinichiro Kawakami, Takashi Yamauchi
  • Patent number: 9711484
    Abstract: In one embodiment, a semiconductor package includes a semiconductor die having conductive pads. A lead frame is directly connected to the conductive pads using an electrochemically formed layer or a conductive adhesive layer thereby facilitating an electrical connection between the conductive pads of the semiconductor die and the lead frame without using separate wire bonds or conductive bumps.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: July 18, 2017
    Assignee: Amkor Technology, Inc.
    Inventors: Jong Sik Paek, Doo Hyun Park, Wang Gu Lee, Yong Song, Sung Geun Kang
  • Patent number: 9567257
    Abstract: The disclosure relates to a method for making a metal nanowire film. The method includes applying a metal layer on a substrate; placing a carbon nanotube composite structure on the metal layer, wherein the carbon nanotube composite structure defines a number of openings and parts of the metal layer are exposed by the number of openings; dry etching the metal layer using the carbon nanotube composite structure as a mask; and removing the carbon nanotube composite structure. The carbon nanotube composite structure includes a carbon nanotube structure and a protective layer coated on the carbon nanotube structure. The carbon nanotube structure includes a number of carbon nanotubes arranged substantially along the same direction.
    Type: Grant
    Filed: December 13, 2015
    Date of Patent: February 14, 2017
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Yuan-Hao Jin, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 9530652
    Abstract: The present invention relates to a method of producing patterned silver nanowire, comprising: coating a photosensitive polyamide acid polymer solution on a silica substrate and dried; using a photomask to paste on the photosensitive polyamic acid and illuminates by ultraviolet; using a developer to obtain a patterned polyamide acid template; coating a metal nanowire suspension on the patterned template; and removing the metal nanowire outside of the patterned polyamic acid. The present invention also discloses an electrode using the patterned metal nanowire and a transistor using the patterned metal nanowire electrode.
    Type: Grant
    Filed: April 24, 2015
    Date of Patent: December 27, 2016
    Assignee: NATIONAL TAIWAN UNIVERSITY
    Inventors: Hsuan-Chun Chang, Cheng-Yu Chung, Wen-Chang Chen
  • Patent number: 9496294
    Abstract: A method for manufacturing an array substrate comprising: using a mask plate (4), and controlling exposure energy to obtain a first exposure feature size, so as to form a gate metal layer on the array substrate; and using the mask plate again, and controlling exposure energy to obtain a second exposure feature size larger than a size of the gate metal layer, so as to form low doped regions (8) located at opposite sides of the gate metal layer and having a same length. The method can form the two low doped regions of the LTPS-TFT having the same length and guarantee the ability of the LTPS-TFT to suppress the leakage current.
    Type: Grant
    Filed: December 12, 2013
    Date of Patent: November 15, 2016
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventor: Qiyu Shen
  • Patent number: 9406867
    Abstract: A method for manufacturing a piezoelectric film wafer includes an etching step for carrying out a dry etching on a piezoelectric film formed on a substrate by using a gas containing Ar, and a step of changing a rate of the dry etching by detecting a change in an emission peak intensity of Na in emitted ion plasma the piezoelectric film. The piezoelectric film is made of an alkali niobate-based perovskite structure expressed in a composition formula (K1-xNax)NbO3 (0.4?x?0.7).
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: August 2, 2016
    Assignee: SUMITOMO CHEMICAL COMPANY, LIMITED
    Inventors: Fumimasa Horikiri, Kenji Shibata, Kazufumi Suenaga, Kazutoshi Watanabe, Akira Nomoto
  • Patent number: 9358370
    Abstract: Embodiments hereof relate to a guidewire formed from an elongated shaft, at least a portion of the shaft having an outer layer, a plurality of channels formed through the outer layer, and an inner core. The outer layer is formed from a material non susceptible to erosion by an erosion agent and the inner core is formed from a radiopaque material susceptible to erosion by the erosion agent. When exposed to the erosion agent, core material adjacent to the channels is removed to form a pattern of integral radiopaque segments or markers with a plurality of voids therebetween. By controlling the location of channels and the rate of erosion of the core material, the pattern of integral radiopaque segments and voids allow for in situ measurement when viewed under fluoroscopy.
    Type: Grant
    Filed: March 12, 2012
    Date of Patent: June 7, 2016
    Assignee: Medtronic Vascular, Inc.
    Inventors: Mark L. Stiger, Richard L. Thomas
  • Patent number: 9343308
    Abstract: A method for trimming a carbon-containing film includes: (i) providing a substrate having a carbon-containing film formed thereon; (ii) supplying a trimming gas and a rare gas to the reaction space, which trimming gas includes an oxygen-containing gas; and (iii) applying RF power between the electrodes to generate a plasma using the trimming gas and the rare gas and to thereby trim the carbon-containing film while controlling a trimming rate at 55 nm/min or less as a function of at least one parameter selected from the group consisting of a flow rate of an oxygen-containing gas, a flow rate of nitrogen-containing gas to be added to the oxygen-containing gas, pressure in the reaction space, RF power, a duty cycle of RF power, a distance between the electrodes, and a temperature of a susceptor on which the substrate is placed.
    Type: Grant
    Filed: October 28, 2013
    Date of Patent: May 17, 2016
    Assignee: ASM IP Holding B.V.
    Inventors: Yoshihiro Isii, Ryu Nakano, Naoki Inoue
  • Patent number: 9332648
    Abstract: The method for producing conductive tracks includes applying continuous metallization layers to a non-conductive substrate, forming a metallization pattern, and applying to the formed tracks a protective barrier layer and a layer for soldering and/or welding elements of parts to the conductive tracks. The continuous metallization layers are applied by consecutively applying an adhesive layer, a conductive layer, and a metal layer, acting as a mask, to the non-conductive substrate. To form the metallization pattern, a mask is formed by laser ablation on sections of the metal layer not occupied by conductive tracks, then selective chemical etching removes the conductive layer and adhesive sublayer from the exposed sections, and selective chemical etching removes the mask, after which the protective barrier layer and layer for soldering and/or welding are applied.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: May 3, 2016
    Assignee: RMT Limited
    Inventors: Vasiliy Sergeevich Anosov, Vasiliy Vasilevich Volodin, Gennadiy Gyusamovich Gromov, Elena Vladmirovna Mazikina, Aleksandr Aleksandrovich Nazarenko, Sergey Sergeevich Ryabov
  • Patent number: 9332647
    Abstract: A solution is characteristic in being an etching method that processes a surface of a target object by corroding the surface by a corrosive, and the etching method includes: a resist forming step of forming a resist on the surface using resist liquid by ink jet printing the resist liquid on the surface; a surface corroding step of corroding a portion of the surface where the resist is not formed by bringing the corrosive into contact with a surface side of the target object where the resist has been formed in the resist forming step; and a resist detaching step of detaching the resist from the surface after the surface corroding step, wherein the resist forming step is a step of forming the resist by the resist liquid containing monofunctional monomers or monofunctional oligomers, and polyfunctional monomers or polyfunctional oligomers.
    Type: Grant
    Filed: October 9, 2013
    Date of Patent: May 3, 2016
    Assignee: MIMAKI ENGINEERING CO., LTD.
    Inventor: Norikazu Nakamura
  • Patent number: 9126232
    Abstract: A method of masking part of a surface of a wall of a gas turbine component including at least one area having cooling holes defined therein, the method including applying a viscous curable masking compound to the part of the surface over an entirety of each of the at least one area, including blocking access to the cooling holes from the surface by applying the masking compound over the cooling holes without completely filling the cooling holes with the masking compound, and forming a respective solid masking element completely covering each of the at least one area and the cooling holes defined therein by curing the masking compound.
    Type: Grant
    Filed: February 21, 2013
    Date of Patent: September 8, 2015
    Assignee: PRATT & WHITNEY CANADA CORP.
    Inventor: Philippe Saint-Jacques
  • Publication number: 20150133944
    Abstract: A bone depth gauge having a distal handle to which a tip is releasably connected. The tip is formed by photochemical machining to produce a tip and hook on the distal end with an approximate hexagonal cross section. The manufacturing costs of the tip are low enough to provide it in a one use package. A tubular element is releasably telescoped over the tip and handle to enable determination of the depth of a hole formed in a bone.
    Type: Application
    Filed: November 11, 2013
    Publication date: May 14, 2015
    Applicant: BIOMET MANUFACTURING, LLC.
    Inventor: Juergen Kortenbach
  • Patent number: 8992790
    Abstract: A patterning process which uses self-assembly, including: forming a silicon-containing film by applying a silicon-containing film composition having an organic substituent group substituted with an acid labile group onto a substrate to be processed, forming a photoresist film onto the silicon-containing film, pattern-exposing of the photoresist film, removing the photoresist film, forming a polymer film by applying a self-assembling polymer onto the silicon-containing film, self-assembling the polymer film to form a microdomain structure, forming a pattern of the polymer film having the microdomain formed, transferring the pattern to the silicon-containing film by using the pattern formed on the polymer as a mask, and transferring the pattern to the substrate to be processed by using the pattern transferred to the silicon-containing film as a mask. There can be provided a pattern having a microdomain structure formed by self-assembly with uniformity and regularity.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: March 31, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Jun Hatakeyama
  • Patent number: 8986562
    Abstract: Methods of laser processing photoresist in a gaseous environment to improve at least one of etch resistance and line-edge roughness are disclosed. The methods include sequentially introducing first and second molecular gases to the photoresist surface and performing respective first and second laser scanning of the surface for each molecular gas. The first molecular gas can be trimethyl aluminum, titanium tetrachloride or diethyl zinc, and the second molecular gas comprises water vapor. Short dwell times prevent the photoresist from flowing while serving to speed up the photoresist enhancement process.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: March 24, 2015
    Assignee: Ultratech, Inc.
    Inventors: Arthur W. Zafiropoulo, Andrew M. Hawryluk
  • Patent number: 8975192
    Abstract: A method is provided for manufacturing a semiconductor device having a heat-resistant resin film with flip-chip connection structure using a solder bump or a gold bump and an epoxy resin compound laminated thereon, in which adhesiveness is improved particularly after exposure to high temperature and high humidity environments for a long period of time, thereby enhancing the reliability of the semiconductor device. The method, in accordance with the present invention, for manufacturing a semiconductor device having a heat-resistant resin film formed on a semiconductor element and an epoxy resin compound layer laminated thereon, comprises the steps of carrying out a plasma treatment on a surface of the heat-resistant resin film on which the epoxy resin compound layer is laminated using a nitrogen atom-containing gas containing at least one of nitrogen, ammonia, and hydrazine.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: March 10, 2015
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Yasunori Kojima, Toshiaki Itabashi