Mask Is Exposed To Nonimaging Radiation Patents (Class 216/48)
  • Patent number: 8986562
    Abstract: Methods of laser processing photoresist in a gaseous environment to improve at least one of etch resistance and line-edge roughness are disclosed. The methods include sequentially introducing first and second molecular gases to the photoresist surface and performing respective first and second laser scanning of the surface for each molecular gas. The first molecular gas can be trimethyl aluminum, titanium tetrachloride or diethyl zinc, and the second molecular gas comprises water vapor. Short dwell times prevent the photoresist from flowing while serving to speed up the photoresist enhancement process.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: March 24, 2015
    Assignee: Ultratech, Inc.
    Inventors: Arthur W. Zafiropoulo, Andrew M. Hawryluk
  • Patent number: 8975192
    Abstract: A method is provided for manufacturing a semiconductor device having a heat-resistant resin film with flip-chip connection structure using a solder bump or a gold bump and an epoxy resin compound laminated thereon, in which adhesiveness is improved particularly after exposure to high temperature and high humidity environments for a long period of time, thereby enhancing the reliability of the semiconductor device. The method, in accordance with the present invention, for manufacturing a semiconductor device having a heat-resistant resin film formed on a semiconductor element and an epoxy resin compound layer laminated thereon, comprises the steps of carrying out a plasma treatment on a surface of the heat-resistant resin film on which the epoxy resin compound layer is laminated using a nitrogen atom-containing gas containing at least one of nitrogen, ammonia, and hydrazine.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: March 10, 2015
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Yasunori Kojima, Toshiaki Itabashi
  • Patent number: 8961802
    Abstract: A method of forming a fine pattern, including: a phase separation step in which a layer containing a block copolymer having a plurality of blocks bonded is formed on a substrate, and then the layer is heated for phase separation of the layer; a decomposition step in which at least a portion of a phase of at least one block of the plurality of blocks constituting the block copolymer is decomposed; a selective removal step in which the layer is immersed in a developing solution to selectively remove a phase containing decomposed blocks to form a nano structure; and an etching step in which the substrate is subjected to etching by using the nano structure as a mask; and a main component of the developing solution is an organic solvent having an SP value of 7.5 to 11.5 (cal/cm3)1/2, and having vapor pressure of less than 2.1 kPa at 25° C., or is benzene that may be substituted by an alkyl group, an alkoxy group, or a halogen atom, and the developing solution further contains metal alkoxide.
    Type: Grant
    Filed: June 26, 2013
    Date of Patent: February 24, 2015
    Assignees: Tokyo Ohka Kogyo Co., Ltd., Riken
    Inventors: Shigenori Fujikawa, Harumi Hayakawa, Takahiro Senzaki, Ken Miyagi
  • Publication number: 20150048051
    Abstract: A resist pattern-forming method is provided, including: providing a resist film using a photoresist composition; exposing the resist film; and developing the resist film exposed, the photoresist composition containing a polymer having a weight average molecular weight of no less than 1,000 and no greater than 7,500 and having a structural unit that includes an acid-labile group that is dissociated by an action of an acid, a radiation-sensitive acid generator and a solvent composition, and the photoresist composition having a content of solids of no less than 20% by mass and no greater than 60% by mass. The photoresist composition preferably has a viscosity of no less than 50 mPa·s and no greater than 150 mPa·s at 25° C.
    Type: Application
    Filed: August 12, 2014
    Publication date: February 19, 2015
    Applicant: JSR CORPORATION
    Inventors: Yuichiro KATSURA, Ryu MATSUMOTO, Motoyuki SHIMA, Yuji Yada, Ken NAKAKURA
  • Publication number: 20150041431
    Abstract: Methods of laser processing photoresist in a gaseous environment to improve at least one of etch resistance and line-edge roughness are disclosed. The methods include sequentially introducing first and second molecular gases to the photoresist surface and performing respective first and second laser scanning of the surface for each molecular gas. The first molecular gas can be trimethyl aluminum, titanium tetrachloride or diethyl zinc, and the second molecular gas comprises water vapor. Short dwell times prevent the photoresist from flowing while serving to speed up the photoresist enhancement process.
    Type: Application
    Filed: August 7, 2013
    Publication date: February 12, 2015
    Applicant: Ultratech, Inc.
    Inventors: Arthur W. Zafiropoulo, Andrew M. Hawryluk
  • Patent number: 8951425
    Abstract: A method of forming at least one curved plate having first and second layers, the first layer being formed of a first material and the second layer being formed of a second material, the method including forming one or more blocks of a fusible material on a surface of a substrate; baking the one or more blocks to deform their shape; and depositing the first and second materials over the one or more deformed blocks to form the first and second layers.
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: February 10, 2015
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Stéphane Monfray, Thomas Skotnicki, Onoriu Puscasu, Christophe Maitre
  • Patent number: 8945416
    Abstract: A laser processing method of converging laser light into an object to be processed made of silicon so as to form a modified region and etching the object along the modified region so as to form the object with a through hole comprises an etch resist film producing step of producing an etch resist film resistant to etching on an outer surface of the object; a laser light converging step of converging the laser light at the object after the etch resist film producing step so as to form the modified region along a part corresponding to the through hole in the object and converging the laser light at the etch resist film so as to form a defect region along a part corresponding to the through hole in the etch resist film; and an etching step of etching the object after the laser light converging step so as to advance the etching selectively along the modified region and form the through hole.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: February 3, 2015
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Hideki Shimoi, Keisuke Araki
  • Publication number: 20150017275
    Abstract: A fine concavo-convex structure product (10) is provided with an etching layer (11), and a resist layer (12) comprised of a heat-reactive resist material for dry etching provided on the etching layer (11), a concavo-convex structure associated with opening portions (12a) formed in the resist layer (12) is formed in the etching layer (11), a pattern pitch P of a fine pattern of the concavo-convex structure ranges from 1 nm to 10 ?m, a pattern depth H of the fine pattern ranges from 1 nm to 10 ?m, and a pattern cross-sectional shape of the fine pattern is a trapezoid, a triangle or a mixed shape thereof. The heat-reactive resist material for dry etching has, as a principal constituent element, at least one species selected from the group consisting of Cu, Nb, Sn, Mn, oxides thereof, nitrides thereof and NiBi.
    Type: Application
    Filed: January 24, 2013
    Publication date: January 15, 2015
    Inventor: Yoshimichi Mitamura
  • Publication number: 20150001179
    Abstract: The invention relates to a method for producing etch-resistant structures (masks) on the surfaces of metallic pressing tools, specifically continuous press bands or press plates, by means of a laser, wherein a surface of the press tool to be structured is provided with a thermally sensitive, etch-resistant coating and the laser burns structures out of the etch-resistant coating. In order to prevent negative influences when lasering on the surface of the press plate, the invention proposes that the structure is burned with a laser that emits light having a wavelength in the infrared range between 780 nm and 1 mm.
    Type: Application
    Filed: February 1, 2013
    Publication date: January 1, 2015
    Inventors: Ralf Niggemann, Heiko Peuster
  • Patent number: 8920664
    Abstract: According to one embodiment, a pattern forming method includes forming a physical guide that includes a first predetermined pattern in a first region on a lower layer film, and includes a second predetermined pattern and a dummy pattern in a second region on the lower layer film, forming a block polymer inside the physical guide, making the block polymer microphase-separated to form a pattern having a first polymer section and a second polymer section, removing the second polymer section to form a hole pattern, and processing the lower layer film after removal of the second polymer section, with the physical guide and the first polymer section used as a mask. Shapes of the hole patterns in the first and the second predetermined patterns are transferred to the lower layer film. A shape of the hole pattern in the dummy pattern is not transferred to the lower layer film.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: December 30, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuriko Seino, Hirokazu Kato, Hiroki Yonemitsu
  • Patent number: 8920660
    Abstract: Methods for manufacturing intravascular stents are disclosed wherein the intravascular stent has its inner surface treated to promote the migration of endothelial cells onto the inner surface of the intravascular stent. In particular, the inner surface of the intravascular stent has at least one groove formed therein.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: December 30, 2014
    Assignee: Advanced Bio Prosthetic Surfaces, Ltd., a wholly owned subsidiary of Palmaz Scientific Inc.
    Inventors: Christopher E. Banas, Julio C. Palmaz, Eugene A. Sprague
  • Patent number: 8889562
    Abstract: Disclosed is an improved double patterning method for forming openings (e.g., vias or trenches) or mesas on a substrate. This method avoids the wafer topography effects seen in prior art double patterning techniques by ensuring that the substrate itself is only subjected to a single etch process. Specifically, in the method, a first mask layer is formed on the substrate and processed such that it has a doped region and multiple undoped regions within the doped region. Then, either the undoped regions or the doped region can be selectively removed in order to form a mask pattern above the substrate. Once the mask pattern is formed, an etch process can be performed to transfer the mask pattern into the substrate. Depending upon whether the undoped regions are removed or the doped region is removed, the mask pattern will form openings (e.g., vias or trenches) or mesas, respectively, on the substrate.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: November 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Ying Zhang
  • Publication number: 20140312004
    Abstract: Provided are an etching method capable of increasing an etching selectivity between a subject material and a resist, a sapphire substrate processed using the etching method, and a light-emitting device including the sapphire substrate. An etching method using a plasma etching apparatus includes: a resist film forming step of forming a resist film on a subject material; a pattern forming step of forming a predetermined pattern on the resist film; a resist degenerating step of exposing the resist film on which the pattern is formed to plasma under a predetermined degeneration condition to degenerate the resist film and increase an etching selectivity; and a subject material etching step of exposing the subject material to plasma under an etching condition different from the degeneration condition to etch the subject material using the resist film having an increased etching selectivity as a mask.
    Type: Application
    Filed: November 6, 2012
    Publication date: October 23, 2014
    Inventors: Atsushi Suzuki, Koichi Naniwae, Toshiyuki Kondo, Midori Mori, Fumihara Teramae
  • Publication number: 20140272326
    Abstract: The invention relates to a fabrication apparatus for fabricating a layer structure comprising at least a patterned first layer on a substrate. A layer structure (6) with an unpatterned first layer is provided on the substrate. A protective material application unit (8) applies protective material at least on parts of the provided layer structure for protecting at least the parts of the provided layer structure (6), an ablation unit (12) ablates the unpatterned first layer through the protective material such that the patterned first layer is generated, and the protective material removing unit (15) removes the protective material (9). This allows fabricating a layer structure for, for example, an OLED without necessarily using a technically complex and costly photolithography process. Moreover, ablation debris can be removed with removing the protective material, thereby reducing the probability of unwanted effects like unwanted shortcuts in the OLED caused by unwanted debris.
    Type: Application
    Filed: May 15, 2012
    Publication date: September 18, 2014
    Applicant: KONINKLIJKE PHILIPS N.V.
    Inventors: Christoph Rickers, Pieter Gijsbertus Maria Kruijt
  • Publication number: 20140263173
    Abstract: Methods for using an electron beam treatment performed on an amorphous carbon layer to form a treated amorphous carbon layer with high etching resistance are provided. In one embodiment, a method of treating an amorphous carbon film includes providing a substrate having a material layer disposed, forming an amorphous carbon layer on the material layer, and performing an electron beam treatment process on the amorphous carbon layer.
    Type: Application
    Filed: March 7, 2014
    Publication date: September 18, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Craig ROSSLEE, Srinivas D. NEMANI, Dmitry LUBOMIRSKY, Ellie Y. YIEH
  • Publication number: 20140263174
    Abstract: A method for scoring and, optionally, for applying a pigment solution to a substrate is provided herein. The method includes the steps of: providing a substrate; applying a curable solution to the substrate with a digital ink jet printer; curing the applied curable solution to form a cured region; and applying a fluid for eroding the substrate to at least a portion of the substrate including the cured region. The fluid for eroding the substrate effectively removes portions of the substrate, which are not covered by the cured region, to form an eroded region of the substrate. In certain embodiments, a plurality of pigment solutions are then applied to at least a portion of the eroded region of the substrate with the digital ink-jet printer to form embellishments, decorative features, or designs in the eroded regions of the substrate.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Inventor: Thomas J. McKnight
  • Patent number: 8821738
    Abstract: A method for processing a substrate is provided; wherein the method comprises applying a film of a copolymer composition, comprising a poly(styrene)-b-poly(siloxane) block copolymer component; and, an antioxidant to a surface of the substrate; optionally, baking the film; annealing the film in a gaseous atmosphere containing ?20 wt % oxygen; followed by a treatment of the annealed film to remove the poly(styrene) from the annealed film and to convert the poly(siloxane) in the annealed film to SiOx.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: September 2, 2014
    Assignees: Rohm and Haas Electronic Materials LLC, Dow Global Technologies LLC
    Inventors: Phillip D. Hustad, Xinyu Gu, Shih-Wei Chang, Jeffrey D. Weinhold, Peter Trefonas
  • Patent number: 8821739
    Abstract: A method for processing a substrate is provided; wherein the method comprises applying a film of a copolymer composition, comprising a poly(styrene)-b-poly(siloxane) block copolymer component; and, an antioxidant to a surface of the substrate; optionally, baking the film; subjecting the film to a high temperature annealing process under a gaseous atmosphere for a specified period of time; followed by a treatment of the annealed film to remove the poly(styrene) from the annealed film and to convert the poly(siloxane) in the annealed film to SiOx.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: September 2, 2014
    Assignees: Rohm and Haas Electronic Materials LLC, Dow Global Technologies LLC
    Inventors: Xinyu Gu, Shih-Wei Chang, Phillip D. Hustad, Jeffrey D. Weinhold, Peter Trefonas
  • Publication number: 20140224765
    Abstract: A pattern-forming method includes forming a resist underlayer film on a substrate using a resist underlayer film-forming composition. The resist underlayer film-forming composition includes a base component, and a crosslinking agent. A content of hydrogen atom in the resist underlayer film is from 0 to 50 atom %. The crosslinking agent has a partial structure represented by a following general formula (i). X represents an oxygen atom, a sulfur atom, or —NR—. R represents a hydrogen atom, an alkyl group having 1 to 9 carbon atoms, or an aryl group having 6 to 30 carbon atoms. n1 is an integer from 1 to 6. R1 represents a hydrogen atom, an alkyl group having 1 to 9 carbon atoms, or an aryl group having 6 to 30 carbon atoms.
    Type: Application
    Filed: March 14, 2014
    Publication date: August 14, 2014
    Applicant: JSR CORPORATION
    Inventors: Shin-ya MINEGISHI, Shin-ya NAKAFUJI, Takanori NAKANO
  • Patent number: 8801949
    Abstract: The method forms forming an open-network polishing pad useful for polishing magnetic, semiconductor and optical substrates. The method provides a polymer sheet or film of a curable polymer and exposes the polymer sheet or film to an energy source to create an exposure pattern in the polymer sheet or film. The exposure pattern having elongated sections exposed to the energy source. After attaching the polymer sheet or film to an open-network substrate, the method removes polymer adjacent from the exposed polymer sheet or film of the intermediate structure with a solvent. This forms elongated channels through the polymer sheet or film in a texture pattern that corresponds to the exposure pattern with the open-network supporting the polymer. The elongated channels extending through the thickness of the polymer sheet or film to form the open-network polishing pad.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: August 12, 2014
    Assignee: Dow Global Technologies LLC
    Inventors: Hamed Lakrout, Ben W Schaefer, Michael D. Williams
  • Patent number: 8791025
    Abstract: The method of producing a GaN-based microstructure includes a step of preparing a semiconductor structure provided with a trench formed in a main surface of the nitride semiconductor and a heat-treating mask covering a main surface of the nitride semiconductor excluding the trench, a first heat-treatment step of heat-treating the semiconductor structure under an atmosphere containing nitrogen element to form a crystallographic face of the nitride semiconductor on at least a part of a sidewall of the trench, a step of removing the heat-treating mask after the first heat-treatment step and a second heat-treatment step of heat-treating the semiconductor structure under an atmosphere containing nitrogen element to close an upper portion of the trench on the sidewall of which the crystallographic face is formed with a nitride semiconductor.
    Type: Grant
    Filed: July 27, 2010
    Date of Patent: July 29, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shoichi Kawashima, Takeshi Kawashima, Yasuhiro Nagatomo, Katsuyuki Hoshino
  • Publication number: 20140197132
    Abstract: A block copolymer is provided. The block copolymer according to an exemplary embodiment includes a first block represented by Chemical Formula 1 and a second block represented by Chemical Formula 2: wherein COM1 and COM2 are independently selected from a polystyrene moiety, polymethylmethacrylate moiety, polyethylene oxide moiety, polyvinylpyridine moiety, polydimethylsiloxane moiety, polyferrocenyldimethylsilane moiety, and polyisoprene moiety, R1 is hydrogen or an alkyl group with 1 to 10 carbon atoms, Ph is a phenyl group, a is 1 to 50, R2 is hydrogen or an alkyl group with 1 to 10 carbon atoms, and b is 1 to 50.
    Type: Application
    Filed: November 21, 2013
    Publication date: July 17, 2014
    Applicants: Samsung Display Co., Ltd., Sogang University Research Foundation, KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION
    Inventors: Min Hyuck KANG, Su Mi LEE, Myung Im KIM, Tae Woo KIM, Seung-Won PARK, Xie LEI, Na Na KANG, Bong-Jin MOON, Joona BANG, Sang Hoon WOO, Jin Yeong LEE, Hyun Jung JUNG, June HUH
  • Patent number: 8778202
    Abstract: This invention relates to methods and apparatuses for creating a textured press plate by spreading ink over the press plate using a heater. Some embodiments provide a method that includes: (a) dispensing radiation-curable ink onto a press plate; (b) spreading the ink over the press plate by heating the ink; and (c) irradiating the ink so that the ink is at least partially cured and/or fixed, and/or such that the spreading of the ink is at least partially slowed and/or stopped. In some embodiments, the irradiating the ink occurs after the spreading the ink. In other embodiments, the ink acts to resist a chemical solution, and the method further includes etching a surface portion of the press plate by exposing the portion to a chemical solution, where the surface portion includes the ink, and where the etching the surface portion occurs after the irradiating the ink.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: July 15, 2014
    Assignee: Kings Mountain International, Inc.
    Inventor: Patrick Lee Bumgardner
  • Patent number: 8728336
    Abstract: A method of chemically milling a workpiece includes the step of depositing a masking material on portions of a workpiece according to a predefined masking pattern such that other portions of the workpiece that are desired to be milled are unmasked. The masking material is deposited using a masking printer that moves in three dimensions to deposit the masking material onto the workpiece. The method also includes the step of chemically removing material from unmasked desired milling areas of the workpiece.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: May 20, 2014
    Assignee: United Technologies Corporation
    Inventor: Edris Raji
  • Patent number: 8703032
    Abstract: The effectiveness of biomimetic dry adhesives at different ambient pressures is investigated. Biomimetic dry adhesives have great potential for space applications but there have been few studies on how these adhesives perform in low-pressure environments. Various geometrical configurations for cap and fiber structures of dry adhesive materials are disclosed. Various methods for manufacturing dry adhesive materials including a silicone rubber negative mold are disclosed. Various methods of manufacturing directly molded anisotropic dry adhesive structures with anisotropic peel strengths are also provided.
    Type: Grant
    Filed: October 14, 2010
    Date of Patent: April 22, 2014
    Assignee: Simon Fraser University
    Inventors: Carlo Menon, Daniel Elliot Sameoto
  • Publication number: 20140054265
    Abstract: A method of forming a fine pattern, including: a phase separation step in which a layer containing a block copolymer having a plurality of blocks bonded is formed on a substrate, and then the layer is heated for phase separation of the layer; a decomposition step in which at least a portion of a phase of at least one block of the plurality of blocks constituting the block copolymer is decomposed; a selective removal step in which the layer is immersed in a developing solution to selectively remove a phase containing decomposed blocks to form a nano structure; and an etching step in which the substrate is subjected to etching by using the nano structure as a mask; and a main component of the developing solution is an organic solvent having an SP value of 7.5 to 11.5 (cal/cm3)1/2, and having vapor pressure of less than 2.1 kPa at 25° C., or is benzene that may be substituted by an alkyl group, an alkoxy group, or a halogen atom, and the developing solution further contains metal alkoxide.
    Type: Application
    Filed: June 26, 2013
    Publication date: February 27, 2014
    Applicants: Tokyo Ohka Kogyo Co., Ltd., Riken
    Inventors: Shigenori Fujikawa, Harumi Hayakawa, Takahiro Senzaki, Ken Miyagi
  • Patent number: 8658050
    Abstract: Techniques for minimizing or eliminating pattern deformation during lithographic pattern transfer to inorganic substrates are provided. In one aspect, a method for pattern transfer into an inorganic substrate is provided. The method includes the following steps. The inorganic substrate is provided. An organic planarizing layer is spin-coated on the inorganic substrate. The organic planarizing layer is baked. A hardmask is deposited onto the organic planarizing layer. A photoresist layer is spin-coated onto the hardmask. The photoresist layer is patterned. The hardmask is etched through the patterned photoresist layer using reactive ion etching (RIE). The organic planarizing layer is etched through the etched hardmask using RIE. A high-temperature anneal is performed in the absence of oxygen. The inorganic substrate is etched through the etched organic planarizing layer using reactive ion etching.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: February 25, 2014
    Assignee: International Business Machines Corporation
    Inventors: Sebastian Ulrich Engelmann, Martin Glodde, Michael A. Guillorn
  • Patent number: 8648316
    Abstract: The invention relates to a cooling apparatus (101) for a sample in an ion beam etching process, including, a sample stage (102) for arranging the sample, a coolant receptacle (120) containing a coolant, at least one thermal conduction element (106a, 106b) that connects the sample stage (102) to the coolant, a cooling finger (105) connected to the thermal conduction element (106a, 106b), the cooling finger (105) comprising a conduit (130, 131) through which coolant can flow and which is connectable to the coolant receptacle (120). The invention further relates to a method of adjusting the temperature of a sample in an ion beam etching process, including mounting a sample on a coolable sample stage (102), aligning the sample on the sample stage (102), and cooling the sample by coolant directed through a conduit (130, 131) of a cooling finger.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: February 11, 2014
    Assignee: Leica Mikrosysteme GmbH
    Inventors: Thomas Pfeifer, Rainer Wogritsch
  • Publication number: 20140014621
    Abstract: The embodiments disclose a method for an electron curing reverse-tone process, including depositing an etch-resistant layer onto a patterned imprinted resist layer fabricated onto a hard mask layer deposited onto a substrate, curing the etch-resistant layer using an electron beam dose during etching processes of imprinted pattern features into the hard mask and into the substrate and using analytical processes to quantify reduced pattern feature placement drift errors and to quantify increased pattern feature size uniformity of imprinted pattern features etched.
    Type: Application
    Filed: March 13, 2013
    Publication date: January 16, 2014
    Inventors: Zhaoning Yu, Nobuo Kurataka, Gennady Gauzner
  • Publication number: 20140008322
    Abstract: According to one aspect of the present invention, there is provided a dry etching method which carries out patterning of a resin film provided on a substrate, by reactive ion etching using a resist mask, wherein a gas mixture containing CF4 gas with a percentage flow rate of 1.0 to 5.0% is used as an etching gas; and pressure in an etching reaction chamber in an apparatus used for the reactive ion etching is 1.0 Pa or more.
    Type: Application
    Filed: June 25, 2013
    Publication date: January 9, 2014
    Inventors: Hiroyuki Abo, Toshiyasu Sakai, Kazuya Abe
  • Patent number: 8578594
    Abstract: A process for fabricating a magnetic recording transducer for use in a data storage system comprises providing a substrate, an underlayer and a first nonmagnetic intermediate layer deposited to a first thickness on and in contact with the underlayer, performing a first scanning polishing on a first section of the first intermediate layer to planarize the first section of the first intermediate layer to a second thickness, providing a main pole in the planarized first section of the first intermediate layer, providing a first pattern of photoresist on and in contact with the first section of the first intermediate layer, the pattern comprising an aperture to define a side shield trench, performing a wet etch to remove at least a portion of the first intermediate layer thereby exposing at least one of the plurality of main pole sides, and depositing side shield material in the side shield trench.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: November 12, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Ming Jiang, Ronghui Zhou, Guanghong Luo, Masahiro Osugi, Danning Yang
  • Publication number: 20130284699
    Abstract: A patterning process which uses self-assembly, including: forming a silicon-containing film by applying a silicon-containing film composition having an organic substituent group substituted with an acid labile group onto a substrate to be processed, forming a photoresist film onto the silicon-containing film, pattern-exposing of the photoresist film, removing the photoresist film, forming a polymer film by applying a self-assembling polymer onto the silicon-containing film, self-assembling the polymer film to form a microdomain structure, forming a pattern of the polymer film having the microdomain formed, transferring the pattern to the silicon-containing film by using the pattern formed on the polymer as a mask, and transferring the pattern to the substrate to be processed by using the pattern transferred to the silicon-containing film as a mask. There can be provided a pattern having a microdomain structure formed by self-assembly with uniformity and regularity.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 31, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu OGIHARA, Jun HATAKEYAMA
  • Publication number: 20130284698
    Abstract: A patterning process which uses self-assembly, wherein the patterning process includes: forming a silicon-containing film by applying a silicon-containing film composition having an organic substituent group substituted with an acid labile group onto a substrate to be processed, pattern-exposing of the silicon-containing film, forming a polymer film by applying a self-assembling polymer onto the silicon-containing film, self-assembling the polymer film to form a microdomain structure, forming a pattern on the polymer film, transferring the pattern to the silicon-containing film by using the pattern formed on the polymer as a mask, and transferring the pattern to the substrate to be processed by using the pattern transferred to the silicon-containing film as a mask. There can be provided a pattern having a microdomain structure formed by self-assembly with excellent uniformity and regularity, the pattern having been difficult to be obtained by a conventional self-assembly polymer.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 31, 2013
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventor: Tsutomu OGIHARA
  • Patent number: 8567045
    Abstract: As track densities increase, it becomes increasingly important, while writing in a given track, not to inadvertently write data in adjoining tracks. This problem has been overcome by limiting the width of material in the ABS plane to what it is at the write gap. The part of the lower pole that is wider than this is recessed back away from the ABS, thereby greatly reducing its magnetic influence on adjacent tracks. Four different embodiments of write heads that incorporate this notion are described together with a description of a general process for their manufacture.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: October 29, 2013
    Assignee: Headway Technologies, Inc.
    Inventors: Moris Dovek, Glen Garfunkel, Po Kang Wang
  • Patent number: 8557130
    Abstract: In forming a pattern on a substrate with reduced pattern error using a mold having an area smaller than an area of the substrate, a first resin pattern is formed on at least a first of a plurality of regions of an etching object layer by imprinting resin applied to the etching object layer using a first mold The etching object layer is then etched using the first resin pattern as an etching mask. A second resin pattern is formed on at least a second of the plurality of regions by imprinting resin applied to the etching object layer using a second mold. The etching object layer is again etched using the second resin pattern as an etching mask.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: October 15, 2013
    Assignee: Samsumg Electronics Co., Ltd.
    Inventors: Young Tae Cho, Suk Won Lee, Sin Kwon, Jung Woo Seo, Jeong Gil Kim
  • Patent number: 8533937
    Abstract: A method or forming a wrapped-around shielded perpendicular magnetic recording writer pole is disclosed. A structure comprising a leading shield layer and an intermediate layer disposed over the leading shield layer is provided, the intermediate layer comprising a pole material and a dielectric material. A trench is formed in the dielectric material. A non-magnetic layer in the trench is removed via an ion beam etching process. A seed layer is deposited in the trench and over the pole material. A magnetic material comprising a side shield layer is deposited on at least a portion of the seed layer.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: September 17, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Jinwen Wang, Weimin Si, Jianxin Fang, Ying Hong, Hongzhou Jiang, Ching-Huang Lu, Yan Chen, Donghong Li, Lien-Chang Wang, Lieping Zhong, Tao Pan
  • Patent number: 8512579
    Abstract: The invention relates to methods and apparatus for manufacturing intravascular stents wherein the intravascular stent has its inner surface treated to promote the migration of endothelial cells onto the inner surface of the intravascular stent. In particular, the inner surface of the intravascular stent has at least one groove formed therein.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: August 20, 2013
    Assignee: Advanced Bio Prosthetic Surfaces, Ltd.
    Inventors: Christopher E. Banas, Julio C. Palmaz, Eugene A. Sprague
  • Patent number: 8505357
    Abstract: The present invention relates to a method for adjusting the resonant frequencies of a vibrating microelectromechanical (MEMS) device. In one embodiment, the present invention is a method for adjusting the resonant frequencies of a vibrating mass including the steps of patterning a surface of a device layer of the vibrating mass with a mask, etching the vibrating mass to define a structure of the vibrating mass, determining a first set of resonant frequencies of the vibrating mass, determining a mass removal amount of the vibrating mass and a mass removal location of the vibrating mass to obtain a second set of resonant frequencies of the vibrating mass, removing the mask at the mass removal location, and etching the vibrating mass to remove the mass removal amount of the vibrating mass at the mass removal location of the vibrating mass.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: August 13, 2013
    Assignee: Teledyne Scientific & Imaging, LLC
    Inventors: Jeffrey F. DeNatale, Philip A. Stupar
  • Patent number: 8505358
    Abstract: The present invention relates to a method for adjusting the resonant frequencies of a vibrating microelectromechanical (MEMS) device. In one embodiment, the present invention is a method for adjusting the resonant frequencies of a vibrating mass including the steps of patterning a surface of a device layer of the vibrating mass with a mask, etching the vibrating mass to define a structure of the vibrating mass, determining a first set of resonant frequencies of the vibrating mass, determining a mass removal amount of the vibrating mass and a mass removal location of the vibrating mass to obtain a second set of resonant frequencies of the vibrating mass, removing the mask at the mass removal location, and etching the vibrating mass to remove the mass removal amount of the vibrating mass at the mass removal location of the vibrating mass.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: August 13, 2013
    Assignee: Teledyne Scientific & Imaging, LLC
    Inventors: Jeffrey F. DeNatale, Philip A. Stupar
  • Patent number: 8499435
    Abstract: A thin-film magnetic head is constructed such that a main magnetic pole layer, a lower shield layer, an upper shield layer and a thin-film coil are laminated on a substrate. A method of manufacturing the thin-film magnetic head has a lower shield layer forming step. This step comprises a step of forming a first lower shield part in a lower shield planned area, including a planned line along the medium-opposing surface, a step of forming a partial lower seed layer having a partial arrangement structure in which the partial lower seed layer is arranged on a lower formation zone except a lower exception zone including the planned line, a step of forming a second lower shield part on the partial lower seed layer.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: August 6, 2013
    Assignees: Headway Technologies, Inc., SAE Magnetics (H.K.) Ltd.
    Inventors: Yoshitaka Sasaki, Hiroyuki Ito, Shigeki Tanemura, Kazuki Sato, Atsushi Iijima
  • Patent number: 8453316
    Abstract: A method of forming a magnetic head comprises the steps of: selectively exposing through the use of a photomask a photoresist layer unpatterned; forming a pattern for forming a pole layer by developing the photoresist layer after the exposure; and forming the pole layer through the use of the pattern. The photomask includes first to third regions. The first region has such a perimeter that a projection image thereof is shaped along a perimeter of an ideal shape of the top surface of the pole layer. The second region touches the perimeter of the first region, and is located outside the first region. The third region is located inside the first region without touching the perimeter of the first region. The third region suppresses deviation of the pole layer from its desired shape which may be caused by the effect of light reflected while the photoresist layer is exposed.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: June 4, 2013
    Assignee: Headway Technologies, Inc.
    Inventors: Yoshitaka Sasaki, Kazuo Ishizaki, Hiroyuki Itoh
  • Publication number: 20130120897
    Abstract: In accordance with an embodiment of the invention, there is provided a soft protrusion structure for an electrostatic chuck, which offers a non-abrasive contact surface for wafers, workpieces or other substrates, while also having improved manufacturability and compatibility with grounded surface platen designs. The soft protrusion structure comprises a photo-patternable polymer.
    Type: Application
    Filed: November 2, 2012
    Publication date: May 16, 2013
    Applicant: ENTEGRIS, INC.
    Inventor: Entegris, Inc.
  • Patent number: 8425789
    Abstract: In anisotropic etching of the substrates, ultra-thin and conformable layers of materials can be used to passivate sidewalls of the etched features. Such a sidewall passivation layer may be a Self-assembled monolayer (SAM) material deposited in-situ etching process from a vapor phase. Alternatively, the sidewall passivation layer may be an inorganic-based material deposited using Atomic Layer Deposition (ALD) method. SAM or ALD s layer deposition can be carried out in a pulsing regime alternating with sputtering and/or etching processes using process gasses with or without plasma. Alternatively, SAM deposition is carried out continuously, while etch or sputtering turns on in a pulsing regime. Alternatively, SAM deposition and etch or sputtering may be carried out continuously.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: April 23, 2013
    Assignee: Rolith, Inc.
    Inventor: Boris Kobrin
  • Patent number: 8425790
    Abstract: An ink composition for ink jet printing is provided which gives a cured object excellent in adhesion to metallic plates, resistance to etchants, and alkali removability and can be stably ejected with an ink jet apparatus. The ink jet composition for etching resists has a viscosity at 25° C. of 3-50 mPa s and includes monomers comprising: either a polymerizable phosphoric ester compound represented by general formula (I); a polyfunctional monomer having two or more ethylenic double-bond groups per molecule and having no phosphoric ester group, the content of the ethylenic double-bond groups being 4×10?3 to 8×10?3 mol/g; and a monofunctional monomer having one ethylenic double-bond group per molecule and having neither phosphoric ester group nor carboxy group. In the formula, X represents C1-3 alkylene, Y represents C2-3 alkylene, and R represents hydrogen or methyl.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: April 23, 2013
    Assignees: Nisshin Steel Co., Ltd., Tokyo Printing Ink Mfg. Co., Ltd.
    Inventors: Masaki Sato, Seiju Suzuki, Shuichi Sugita, Kenichi Kaneda, Shigenori Kobayashi
  • Patent number: 8413317
    Abstract: A method and system for fabricating a microelectric device are described. A write pole of an energy assisted magnetic recording head or a capacitor might be fabricated. The method includes depositing a resist film and curing the resist film at a temperature of at least 180 degrees centigrade. A cured resist film capable of supporting a line having an aspect ratio of at least ten is thus provided. A portion of the cured resist film is removed. A remaining portion of the resist film forms the line. An insulating or nonmagnetic layer is deposited after formation of the line. The line is removed to provide a trench in the insulating or nonmagnetic layer. The trench has a height and a width. The height divided by the width corresponds to the aspect ratio. At least part of the structure is provided in the trench.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: April 9, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Dujiang Wan, Hai Sun, Ge Yi, Wei Gao, Hong Zhang, Guanghong Luo, Yunjun Tang, Tiffany Yun Wen Jiang, Zhigang Zhou, Wencheng Su
  • Patent number: 8414788
    Abstract: A reverse acting rupture disc is provided having a laser defined electropolished line-of-weakness recess, and an improved method of forming an electropolished line-of-weakness recess in a reverse acting rupture disc that assures full opening of the disc upon reversal. A rupture disc blank is pre-bulged, final bulged, and then provided with a layer of resist material. A laser is used to remove at least a portion of the layer of resist material corresponding to a desired line-of-weakness recess in the concave face of the bulged rupture disc. The disc is then subjected to an electropolishing operation to remove metal from the lased area of the rupture disc, thereby forming a lustrous polished line-of-weakness recess in the disc of desired configuration and of a predetermined depth that is related to material thickness.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: April 9, 2013
    Assignee: Fike Corporation
    Inventors: Bon F. Shaw, Bradford T. Stilwell, Michael D. Krebill, Brent W. Leonard
  • Publication number: 20130075362
    Abstract: The method forms forming an open-network polishing pad useful for polishing magnetic, semiconductor and optical substrates. The method provides a polymer sheet or film of a curable polymer and exposes the polymer sheet or film to an energy source to create an exposure pattern in the polymer sheet or film. The exposure pattern having elongated sections exposed to the energy source. After attaching the polymer sheet or film to an open-network substrate, the method removes polymer adjacent from the exposed polymer sheet or film of the intermediate structure with a solvent. This forms elongated channels through the polymer sheet or film in a texture pattern that corresponds to the exposure pattern with the open-network supporting the polymer. The elongated channels extending through the thickness of the polymer sheet or film to form the open-network polishing pad.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 28, 2013
    Inventors: Hamed Lakrout, Ben W. Schaefer, Michael D. Williams
  • Publication number: 20130075363
    Abstract: This invention relates to methods and apparatuses for creating a textured press plate by spreading ink over the press plate using a heater. Some embodiments provide a method that includes: (a) dispensing radiation-curable ink onto a press plate; (b) spreading the ink over the press plate by heating the ink; and (c) irradiating the ink so that the ink is at least partially cured and/or fixed, and/or such that the spreading of the ink is at least partially slowed and/or stopped. In some embodiments, the irradiating the ink occurs after the spreading the ink. In other embodiments, the ink acts to resist a chemical solution, and the method further includes etching a surface portion of the press plate by exposing the portion to a chemical solution, where the surface portion includes the ink, and where the etching the surface portion occurs after the irradiating the ink.
    Type: Application
    Filed: September 23, 2011
    Publication date: March 28, 2013
    Applicant: Kings Mountain International, Inc.
    Inventor: Patrick Lee Bumgardner
  • Patent number: 8393073
    Abstract: A method for constructing a magnetoresistive sensor that avoids shadowing effects of a mask structure during sensor definition. The method includes the use of an antireflective coating (ARC) and a photosensitive mask deposited there over. The photosensitive mask is formed to cover a desired sensor area, leaving non-sensor areas exposed. A reactive ion etch is performed to transfer the pattern of the photosensitive mask onto the underlying ARC layer. The reactive ion etch (RIE) is performed with a relatively high amount of platen power. The higher platen power increases ion bombardment of the wafer, thereby increasing the physical (ie mechanical) component of material removal relative to the chemical component. This increase in the physical component of material removal result in an increased rate of removal of the photosensitive mask material relative to the ion mill resistant mask.
    Type: Grant
    Filed: July 21, 2008
    Date of Patent: March 12, 2013
    Assignee: HGST Netherlands B.V.
    Inventors: Richard Jule Contreras, Michael Feldbaum, Mustafa Michael Pinarbasi
  • Patent number: 8382997
    Abstract: A method of patterning a substrate is described. The method includes preparing a film stack on a substrate, wherein the film stack comprises a spin-on layer, and heating the spin-on layer to a cure temperature less than a thermal decomposition temperature of the spin-on layer and exceeding about 200 degrees C. to increase mechanical strength of the spin-on layer. The method further includes forming a feature pattern without pattern collapse in the spin-on layer, wherein the feature pattern is characterized by a critical dimension less than 35 nm (nanometers) and an aspect ratio relating a height of the feature pattern to the critical dimension exceeding 5:1.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Andrew W. Metz