With Target Means Patents (Class 250/398)
  • Patent number: 11953428
    Abstract: Disclosed herein is a method for improving the precision of a test result from an instrument with an optical system that detects a signal. The method comprises including in the instrument a normalization target disposed directly or indirectly in the optical path of the optical system. Also disclosed are instruments comprising a normalization target, and systems comprising such an instrument and a test device that receives a sample suspected of containing an analyte.
    Type: Grant
    Filed: October 22, 2020
    Date of Patent: April 9, 2024
    Assignee: Quidel Corporation
    Inventors: David Dickson Booker, Jhobe Steadman
  • Patent number: 11915906
    Abstract: A method of scanning a wafer includes placing the wafer over a substrate holder inside a processing chamber, where the wafer is placed at a first twist angle relative to a reference axis of a rotatable feedthrough of the processing chamber. The method further includes performing a first pass scan by exposing the wafer to an ion beam while driving two rotary drives disposed in a scanning chamber synchronously to generate a planar motion of the wafer from a rotational motion of the two rotary drives, where the wafer is oriented continuously at the first twist angle when performing the first pass scan.
    Type: Grant
    Filed: February 2, 2023
    Date of Patent: February 27, 2024
    Assignee: TEL Manufacturing and Engineering of America, Inc.
    Inventors: Matthew Gwinn, Paul Consoli, Jerry Negrotti
  • Patent number: 11915904
    Abstract: Systems for reducing the generation of thermal magnetic field noise in optical elements of microscope systems, are disclosed. Example microscopy optical elements having reduced Johnson noise generation according to the present disclosure comprises an inner core composed of an electrically isolating material, and an outer coating composed of an electrically conductive material. The product of the thickness of the outer coating and the electrical conductivity is less than 0.01??1. The outer coating causes a reduction in Johnson noise generated by the optical element of greater than 2×, 3×, or an order of magnitude or greater. In a specific example embodiment, the optical element is a corrector system having reduced Johnson noise generation. Such a corrector system comprises an outer magnetic multipole, and an inner electrostatic multipole. The inner electrostatic multipole comprises an inner core composed of an electrically isolating material and an outer coating composed of an electrically conductive material.
    Type: Grant
    Filed: August 3, 2022
    Date of Patent: February 27, 2024
    Assignee: FEI COMPANY
    Inventors: Alexander Henstra, Pleun Dona
  • Patent number: 11901156
    Abstract: In one embodiment, a multi-charged-particle-beam writing method includes performing a tracking operation such that, while a substrate placed on a stage moving continuously is being irradiated with multiple beams including a plurality of charged particle beams, deflection positions of the multiple beams follow movement of the stage, and applying the multiple beams to the substrate having a writing area including a plurality of rectangular regions arranged in a mesh during the tracking operation such that each of the plurality of rectangular regions is irradiated with the multiple beams. Each rectangular region includes a plurality of pixels each having a predetermined size and arranged in a mesh. At least one subset of the plurality of pixels is irradiated with the multiple beams in a first shot order and is then irradiated with the multiple beams in a second shot order different from the first shot order.
    Type: Grant
    Filed: July 28, 2022
    Date of Patent: February 13, 2024
    Assignee: NuFlare Technology, Inc.
    Inventors: Ryosuke Ueba, Satoru Hirose, Shunsuke Isaji, Rieko Nishimura
  • Patent number: 11894216
    Abstract: Aspects of the disclosure provide a method of preparing a focused ion beam (FIB) sample and analyzing the sample in an electron microscope system. The method can include forming, over a substrate, a target film having a thickness of less than a threshold corresponding to a limit for FIB requirements, and forming a supporting film over the target film. The method can also include obtaining a FIB sample that includes a portion of the target film and a portion of the supporting film and. The method can further include analyzing the obtained portion of the target film in an electron microscope system.
    Type: Grant
    Filed: December 9, 2020
    Date of Patent: February 6, 2024
    Assignee: Yangtze Memory Technologies Co., Ltd.
    Inventor: Jing Liu
  • Patent number: 11835438
    Abstract: An automatic sample preparation apparatus that automatically prepares a sample piece from a sample and includes a focused ion beam irradiation optical system, an electron beam irradiation optical system configured to irradiate an electron beam from a direction different from a direction of the focused ion beam, a sample piece transfer device configured to hold and transfer the sample piece separated and extracted from the sample, a detector configured to detect secondary charged particles emitted from an irradiation object, and a computer configured to recognize a position of the sample piece transfer device by image-recognition using an image data of the focused ion beam and the electron beam generated by irradiating the sample piece transfer device with the focused ion beam and the electron beam, and drive the sample piece transfer device, wherein the image data includes a reference mark.
    Type: Grant
    Filed: July 13, 2021
    Date of Patent: December 5, 2023
    Assignee: Hitachi High-Tech Science Corporation
    Inventors: Atsushi Uemoto, Tatsuya Asahata, Makoto Sato, Yo Yamamoto
  • Patent number: 11810749
    Abstract: The present invention relates to a charged particle beam system comprising a deflection subsystem configured to deflect a charged particle beam in a deflection direction based on a sum of analog signals generated by separate digital to analog conversion of a first digital signal and a second digital signal. The present invention further relates to a method of configuring the charged particle beam system so that each of a plurality of regions of interest can be scanned by varying only the first digital signal while the second digital signal is held constant at a value associated with the respective region of interest. The present invention further relates to a method of recording a plurality of images of the regions of interest at the premise of reduced interference due to charge accumulation.
    Type: Grant
    Filed: December 6, 2021
    Date of Patent: November 7, 2023
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Eugen Foca, Amir Avishai, Thomas Korb, Daniel Fischer
  • Patent number: 11804357
    Abstract: An electron optical module for providing an off-axial electron beam with a tunable coma, according to the present disclosure includes a structure positioned downstream of an electron source and an electron lens assembly positioned between the structure and the electron source. The structure generates a decelerating electric field, and is positioned to prevent the passage of electrons along the optical axis of the electron lens assembly. The electron optical module further includes a micro-lens that is not positioned on the optical axis of the electron lens assembly and is configured to apply a lensing effect to an off-axial election beam. Aberrations applied to the off-axial electron beam by the micro-lens and the electron lens assembly combine so that a coma of the off-axial beam has a desired value in a downstream plane.
    Type: Grant
    Filed: September 30, 2021
    Date of Patent: October 31, 2023
    Assignee: FEI Company
    Inventors: Ali Mohammadi-Gheidari, Peter Christiaan Tiemeijer, Alexander Henstra, Tomas Radlicka
  • Patent number: 11764028
    Abstract: A charged particle beam device is provided in which axis adjustment as a superimposing lens is facilitated by aligning an axis of an electrostatic lens resulting from a deceleration electric field with an axis of a magnetic field lens. The charged particle beam device includes: an electron source; an objective lens that focuses a probe electron beam from the electron source on a sample; a first beam tube and a second beam tube through each of which the probe electron beam passes; a deceleration electrode arranged between the first beam tube and a sample; a first voltage source that forms a deceleration electric field for the probe electron beam between the first beam tube and the deceleration electrode by applying a first potential to the first beam tube; and a first moving mechanism that moves a position of the first beam tube.
    Type: Grant
    Filed: May 22, 2018
    Date of Patent: September 19, 2023
    Assignee: Hitachi High-Tech Corporation
    Inventors: Yuta Imai, Masahiro Sasajima, Yoshihiro Takahoko
  • Patent number: 11742105
    Abstract: A scanning magnet that deflects a charged particle beam has a winding U provided with grooves SL1 and SL4 provided at facing positions. A passing direction of a conductive wire forming the winding U passes through the groove SL1 in a ?-axis positive direction, and passes through the groove SL4 in a ?-axis negative direction. The winding U has a loop path SL1-SL4 in which the groove SL1 is directed to the ?-axis positive direction, and the groove SL4 is directed to the ?-axis negative direction. When a current flows in the ?-axis positive direction in a winding section U+ disposed in the groove SL1, a current flows in the ?-axis negative direction in a winding section U? disposed in the groove SL4. A yoke, the winding U, a winding V, and a winding W have a 120° rotationally symmetric structure with respect to a central axis of the yoke.
    Type: Grant
    Filed: June 30, 2020
    Date of Patent: August 29, 2023
    Assignee: HITACHI, LTD.
    Inventors: Takahiro Yamada, Takuya Nomura, Seiji Soeda
  • Patent number: 11709132
    Abstract: Apparatus and methods for forming an image of an object which involves focusing partially to fully spatially-coherent radiation onto a sample and collecting the resulting scattered radiation (the “standard data set”) on an array detector. In addition to the standard dataset, an additional measurement or plurality of measurements is made of a relatively-unscattered beam, using the array detector, which comprises the “modulus enforced probe (MEP) dataset”. This MEP dataset serves as an extra constraint, called the MEP constraint, in the phase retrieval algorithm used to reconstruct the image of the object.
    Type: Grant
    Filed: May 18, 2017
    Date of Patent: July 25, 2023
    Assignee: Regents of the University of Colorado, a body corporate
    Inventors: Michael Tanksalvala, Daniel E. Adams, Dennis Gardner, Christina L. Porter, Giulia F. Mancini, Margaret M. Murnane, Henry C. Kapteyn
  • Patent number: 11705301
    Abstract: It is provided a charged particle beam manipulation device for a plurality of charged particle beamlets, the charged particle beam manipulation device including a lens having a main optical axis, the lens including at least a first array of multipoles, each multipole of the first array of multipoles configured to compensate for a lens deflection force on a respective charged particle beamlet of the plurality of charged particle beamlets, the lens deflection force being a deflection force produced by the lens on the respective charged particle beamlet towards the main optical axis of the lens.
    Type: Grant
    Filed: January 19, 2021
    Date of Patent: July 18, 2023
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventor: Benjamin John Cook
  • Patent number: 11694872
    Abstract: A method of processing a substrate includes loading the substrate on a substrate holder. The substrate includes a major surface and a feature disposed over the major surface. The feature has a first width along an etch direction. The method includes exposing portions of the major surface and changing the first width of the feature to a second width along the etch direction by etching a first portion of the sidewalls of the feature with a gas cluster ion beam oriented along a beam direction.
    Type: Grant
    Filed: May 17, 2022
    Date of Patent: July 4, 2023
    Assignee: TEL Manufacturing and Engineering of America, Inc.
    Inventors: Kazuya Dobashi, Hiromitsu Kambara, Masaru Nishino, Reo Kosaka, Matthew Gwinn, Luis Fernandez, Kenichi Oyama, Sakurako Natori, Noriaki Okabe
  • Patent number: 11646213
    Abstract: A system and method for etching workpieces in a uniform manner are disclosed. The system includes a semiconductor processing system that generates a ribbon ion beam, and a workpiece holder that scans the workpiece through the ribbon ion beam. The workpiece holder includes a plurality of independently controlled thermal zones so that the temperature of different regions of the workpiece may be separately controlled. In certain embodiments, etch rate uniformity may be a function of distance from the center of the workpiece, also referred to as radial non-uniformity. Further, when the workpiece is scanned, there may also be etch rate uniformity issues in the translated direction, referred to as linear non-uniformity. The present workpiece holder comprises a plurality of independently controlled thermal zones to compensate for both radial and linear etch rate non-uniformity.
    Type: Grant
    Filed: May 4, 2020
    Date of Patent: May 9, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Kevin R. Anglin, Simon Ruffell
  • Patent number: 11646239
    Abstract: According to one embodiment, a registration mark includes a first step portion and a second step portion. The first step portion includes a plurality of first steps which descend step by step in a first direction from a surface of a substrate or a layer formed on the substrate. The second step portion includes a plurality of second steps which descend step by step from the surface in a second direction different from the first direction and have the same number as the number of the plurality of first steps, is spaced apart from the first step portion, and is disposed rotationally symmetrically to the first step portion.
    Type: Grant
    Filed: August 18, 2021
    Date of Patent: May 9, 2023
    Assignee: Kioxia Corporation
    Inventor: Sho Kawadahara
  • Patent number: 11635695
    Abstract: A method includes forming a resist pattern, the resist pattern having trenches oriented lengthwise along a first direction and separated by resist walls along both the first direction and a second direction perpendicular to the first direction. The method further includes loading the resist pattern into an ion implanter so that a top surface of the resist pattern faces an ion travel direction, and tilting the resist pattern so that the ion travel direction forms a tilt angle with respect to an axis perpendicular to the top surface of the resist pattern. The method further includes rotating the resist pattern around the axis to a first position; implanting ions into the resist walls with the resist pattern at the first position; rotating the resist pattern around the axis by 180 degrees to a second position; and implanting ions into the resist walls with the resist pattern at the second position.
    Type: Grant
    Filed: June 15, 2020
    Date of Patent: April 25, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jing-Huei Huang, Ya-Wen Chiu, Lun-Kuang Tan
  • Patent number: 11587760
    Abstract: A scanning system includes a scanning chamber; a first rotary drive disposed in the scanning chamber and configured to rotate around a first axis; a second rotary drive disposed in the scanning chamber and configured to rotate around the first axis synchronously with the first rotary drive; and a bar-and-hinge system disposed in the scanning chamber and mechanically coupled to a substrate holder, the hinge system configured to translate a rotary motion of the first rotary drive and the second rotary drive to a planar motion of the substrate holder.
    Type: Grant
    Filed: October 14, 2020
    Date of Patent: February 21, 2023
    Assignee: TEL Manufacturing and Engineering of America, Inc.
    Inventors: Matthew Gwinn, Paul Consoli, Jerry Negrotti
  • Patent number: 11508591
    Abstract: An electron source emits an electron beam. The electron beam is received by a beam limiting assembly. The beam limiting assembly has a first beam limiting aperture with a first diameter and a second beam limiting aperture with a second diameter larger than the first diameter. The first beam limiting aperture receives the electron beam. This beam limiting assembly reduces the influence of Coulomb interactions.
    Type: Grant
    Filed: February 8, 2021
    Date of Patent: November 22, 2022
    Assignee: KLA Corporation
    Inventors: Xinrong Jiang, Christopher Sears, Nikolai Chubun, Luca Grella
  • Patent number: 11450506
    Abstract: A method of processing a substrate includes loading the substrate on a substrate holder. The substrate includes a major surface and a feature disposed over the major surface. The feature has a first width along an etch direction. The method includes exposing portions of the major surface and changing the first width of the feature to a second width along the etch direction by etching a first portion of the sidewalls of the feature with a gas cluster ion beam oriented along a beam direction.
    Type: Grant
    Filed: September 11, 2020
    Date of Patent: September 20, 2022
    Assignee: TEL MANUFACTURING AND ENGINEERING OF AMERICA, INC.
    Inventors: Kazuya Dobashi, Hiromitsu Kambara, Masaru Nishino, Reo Kosaka, Matthew Gwinn, Luis Fernandez, Kenichi Oyama, Sakurako Natori, Noriaki Okabe
  • Patent number: 11430630
    Abstract: The present invention realizes a composite charged particle beam apparatus capable of suppressing a leakage magnetic field from a pole piece forming an objective lens of an SEM with a simple structure. The charged particle beam apparatus according to the present invention obtains an ion beam observation image while passing a current to a first coil constituting the objective lens, and performs an operation of reducing the image shift by passing a current to a second coil with a plurality of current values, and determines a current to be passed to the second coil based on a difference between the operations.
    Type: Grant
    Filed: September 4, 2017
    Date of Patent: August 30, 2022
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryo Hirano, Tsunenori Nomaguchi, Chisato Kamiya, Junichi Katane
  • Patent number: 11387072
    Abstract: Provided is a charged particle beam device using a detector that detects electromagnetic waves, in which a circumstance in a sample chamber can be checked, and a sample is observed with the detector at the same time.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: July 12, 2022
    Assignee: Hitachi High-Tech Corporation
    Inventors: Takahiro Usui, Tatsuya Hirato, Hiroyuki Chiba, Yuki Suda
  • Patent number: 11303074
    Abstract: An enclosure comprising a housing having a first end and a second end opposite the first end, the housing having a first connector receptacle at the first end, the housing having a surface defining a robot-engaging mechanism, a hook extending from the second end of the housing, and a securement mechanism at the second end of the housing.
    Type: Grant
    Filed: June 22, 2020
    Date of Patent: April 12, 2022
    Assignee: Google LLC
    Inventors: Nathanael Arling Worden, Samuel Gardner Garrett
  • Patent number: 11282674
    Abstract: In one embodiment, a charged particle beam writing method is for writing a pattern in a writing area on a substrate by irradiating a charged particle beam onto the substrate while moving the substrate to write stripes sequentially, each of the stripes having a width W and shapes obtained by dividing the writing area by the width W. The method includes performing S times (S is an integer greater than or equal to two) strokes, each of the strokes which is a process writing the stripes in a multiplicity of 2n (n is an integer greater than or equal to one) while shifting a reference point of each of the stripes in the width direction by a preset stripe shift amount and changing a moving direction of the substrate for each of the stripes, and writing while the reference point of the stripes in the each of the strokes in the width direction of the stripes is shifted by a preset stroke shift amount in each of the strokes.
    Type: Grant
    Filed: February 24, 2020
    Date of Patent: March 22, 2022
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideki Matsui
  • Patent number: 11275001
    Abstract: An on-axis, angled, rotator device is disclosed. The rotator device may include a container containing a slot for receiving a sample. An angle of the slot may be configured to be between 0 and 180 degrees relative to a perpendicular irradiation plane of a radiation device. The rotator device may include a cup positioned within an opening of the container. Additionally, the rotator device may include a driveshaft configured to transmit torque to cause the cup to be rotated when the cup is positioned within the opening. When the sample resides within the slot and the driveshaft transmits the torque to the cup, the cup may cause the sample to rotate about a center axis of the sample. The angle of the slot containing the sample and the rotation of the sample about the center axis may facilitate uniform radiation exposure to the sample when the radiation device emits radiation.
    Type: Grant
    Filed: July 24, 2020
    Date of Patent: March 15, 2022
    Assignee: Rad Source Technologies, Inc.
    Inventors: Justin M. Czerniawski, Peter M. Mitchell
  • Patent number: 11239054
    Abstract: A multi-beam particle beam system includes a multi-aperture plate having a multiplicity of apertures. During operation, one particle beam of the plurality of particle beams passes through each of the apertures. A multiplicity of electrodes are insulated from the second multi-aperture plate to influence the particle beam passing through the aperture. A voltage supply system for the electrodes includes: a signal a generator to generate a serial sequence of digital signals; a D/A converter to convert the digital signals into a sequence of voltages between an output of the D/A converter and the multi-aperture plate; and a controllable changeover system, which feeds the sequence of voltages successively to different electrodes.
    Type: Grant
    Filed: November 16, 2020
    Date of Patent: February 1, 2022
    Assignee: Carl Zeiss MultiSEM GmbH
    Inventors: Yanko Sarov, Jan Horn, Ulrich Bihr, Christof Riedesel, Erik Essers
  • Patent number: 11191876
    Abstract: A coating for a medical device or appliance may include a fluoropolymer and a polyimide. Such coatings may provide a lubricious exterior surface that facilitates insertion or displacement of a medical device in a body lumen. Some coatings that include a fluoropolymer and a polyimide may, among other functions and characteristics, provide increased strength and/or durability relative to some other coatings.
    Type: Grant
    Filed: May 20, 2019
    Date of Patent: December 7, 2021
    Assignee: Merit Medical Systems, Inc.
    Inventors: William Paul McKee, Albert Hillen, Peter van der Wal
  • Patent number: 11166472
    Abstract: A devices (10) and method for pasteurizing and/or sterilizing particulate material. The device contain at least one electron source (20) for generating an electron beam and a treatment zone (19) in which the material is pasteurized and/or sterilized by the electron beam. The device (10) comprises a vibration surface (11) which vibrates to convey and individualize the material. The first vibration surface (11) has a plurality of grooves (12) into which the material is conveyed and individualized. The device (10) has a material channel (21) in which the material is pasteurized and/or sterilized by the electron beam in the region of the treatment zone (19). The device (10) has at least one auxiliary channel (22) through which a fluid flows, between the electron source (20) and the material channel (21), and is separated from the material channel (21). A cartridge (24) for pasteurizing and/or sterilizing particulate material is also disclosed.
    Type: Grant
    Filed: October 29, 2020
    Date of Patent: November 9, 2021
    Assignee: BÜHLER AG
    Inventors: Nicolas Meneses, Martin Hersche, Alasdair Currie, Niklaus Schönenberger, Thomas Scheiwiller
  • Patent number: 11145485
    Abstract: A multiple electron beam irradiation apparatus includes a shaping aperture array substrate to form multiple primary electron beams, a plurality of electrode array substrates stacked each to dispose thereon a plurality of electrodes each arranged at a passage position of each of the multiple primary electron beams, each of the multiple primary electron beams surrounded by an electrode of the plurality of electrodes when each of the multiple primary electron beams passes through the passage position, the first wiring and the second wiring applied with one of different electric potentials, and a stage to mount thereon a target object to be irradiated with the multiple primary electron beams having passed through the plurality of electrode array substrates, wherein, in each of the plurality of electrode array substrates, each of the plurality of electrodes is electrically connected to either one of the first wiring and the second wiring.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: October 12, 2021
    Assignees: NuFlare Technology, Inc., NuFlare Technology America, Inc.
    Inventors: Kazuhiko Inoue, Atsushi Ando, Munehiro Ogasawara, John Hartley
  • Patent number: 11145486
    Abstract: A beam profile determination method and ion implantation apparatus implanting the same is provided. The method includes measuring a beam profile of an ion beam in a direction orthogonal to a scanning direction of a substrate and a traveling direction of the ion beam; computing, based on the measured beam profile, a uniformity of a dose distribution of a part of the ion beam with which a surface of the substrate is irradiated when the substrate is scanned; and comparing the computed uniformity of the dose distribution with a first reference value to determine an adequacy of the beam profile of the ion beam.
    Type: Grant
    Filed: June 25, 2020
    Date of Patent: October 12, 2021
    Assignee: NISSIN ION EQUIPMENT CO., LTD.
    Inventor: Yutaka Inouchi
  • Patent number: 11127563
    Abstract: A method for scanning a sample by a charged particle beam tool is provided. The method includes providing the sample having a scanning area including a plurality of unit areas, scanning a unit area of the plurality of unit areas, blanking a next unit area of the plurality of unit areas adjacent to the scanned unit area, and performing the scanning and the blanking the plurality of unit areas until all of the unit areas are scanned.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: September 21, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Adam Lyons, Thomas I. Wallow
  • Patent number: 11054751
    Abstract: A method and apparatus to measure a target (e.g., an alignment mark (e.g., on a substrate)) is disclosed. Relative movement between the target and a measurement spot of a measurement system in a “fly-in” direction (e.g., movement of the target towards the measurement spot) is performed so that a first measurement for the target can be made. Thereafter, relative movement between the target and the measurement spot is made in an opposite “fly-in” direction so that a second measurement for the target can be made. By combining (e.g., averaging) these two measurements, an error is cancelled out, and higher accuracy in the measurement may be achieved.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: July 6, 2021
    Assignee: ASML Holding N.V.
    Inventors: Hong Ye, Gerrit Johannes Nijmeijer
  • Patent number: 11045664
    Abstract: A ridge filter 100 provided in a particle therapy system includes a repeating structure body 101 having a plurality of extending parts 101c extending along the incident direction P of a proton beam 204, and a bottom plate 102 provided on the lower face 101b side opposite to the incident side of the proton beam 204 of the repeating structure body 101. In addition, the repeating structure body 101 and the bottom plate 102 are integrally formed by a molding method, and each of the repeating structure body 101 and the bottom plate 102 is formed of a laminate of resin.
    Type: Grant
    Filed: November 28, 2017
    Date of Patent: June 29, 2021
    Assignee: HITACHI, LTD.
    Inventors: Satoshi Arai, Hiroaki Furuichi, Jun-ichi Hirai, Osamu Chiba, Tomoki Murata
  • Patent number: 11017978
    Abstract: An ion implanter having a beam park device on the way of a beamline through which an ion beam is transported toward a wafer is provided. The beam park device includes a pair of park electrodes which faces each other across the beamline, and a beam dump which is provided away from the beamline in a facing direction of the pair of park electrodes and on a downstream side of the pair of park electrodes in a beamline direction. At least one of the pair of park electrodes includes a plurality of electrode bodies which are disposed to be spaced apart from each other in a predetermined direction perpendicular to both a direction in which the beamline extends and the facing direction, and each of the plurality of electrode bodies extends from an upstream side toward the downstream side in the beamline direction.
    Type: Grant
    Filed: November 12, 2019
    Date of Patent: May 25, 2021
    Assignee: SUMITOMO HEAVY INDUSTRIES ION TECHNOLOGY CO., LTD.
    Inventor: Takanori Yagita
  • Patent number: 10960231
    Abstract: A radiation therapy system includes an accelerator and beam transport system that generates a beam of particles. The accelerator and beam transport system guides the beam on a path and into a nozzle that is operable for aiming the beam toward an object. The nozzle includes a scanning magnet operable for steering the beam toward different locations within the object, and also includes a beam energy adjuster configured to adjust the beam by, for example, placing different thicknesses of material in the path of the beam to affect the energies of the particles in the beam.
    Type: Grant
    Filed: May 2, 2019
    Date of Patent: March 30, 2021
    Assignee: Varian Medical Systems, Inc.
    Inventor: Stanley Mansfield
  • Patent number: 10912180
    Abstract: The present disclosure relates to an X-ray source apparatus and a control method of the X-ray source apparatus in which a cathode electrode and a gate electrode are arranged in an array form to enable matrix control, and, thus, it is possible to irradiate X-rays at an optimum dose for each position on the subject. Therefore, it is possible to suppress the irradiation of more X-rays than are needed to the subject. Also, it is possible to obtain a high-resolution and high-quality X-ray image. As such, two-dimensional matrix control makes it easy to control the dose of X-rays and makes it possible to uniformly irradiate X-rays to the subject. Therefore, it is possible to manufacture a high-resolution surface X-ray source with less dependence on the size of the focus of electron beams.
    Type: Grant
    Filed: April 1, 2019
    Date of Patent: February 2, 2021
    Assignee: KOREA UNIVERSITY RESEARCH AND BUSINESS FOUNDATION
    Inventors: Cheol Jin Lee, Sang Heon Lee, Jun Soo Han, Han Bin Go
  • Patent number: 10880982
    Abstract: A light generation system is provided. The light generation system includes a vaporization device, a laser device and a lens structure. The vaporization device is configured to vaporize a metal-nonmetal compound to generate a metal-nonmetal precursor gas. The laser device is configured to provide laser light, and irradiate the metal-nonmetal precursor gas released from the vaporization device with the laser light to emit a light signal. The lens structure is configured to direct the light signal toward a photomask used in a lithography process.
    Type: Grant
    Filed: June 12, 2019
    Date of Patent: December 29, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Ching-Hsiang Hsu, Feng Yuan Hsu, Hsu-Kai Chang, Chi-Ming Yang
  • Patent number: 10867774
    Abstract: In one embodiment, a multi charged particle beam writing apparatus includes a plurality of reflective marks disposed on a stage, an inspection aperture member configured to allow one beam to pass therethrough, a first detector detecting a beam current of a beam passed through the inspection aperture member, a second detector detecting charged particles reflected from the reflective marks, a first beam shape calculator generating a beam image based on the beam currents detected by the first detector and calculating a reference beam shape, and a second beam shape calculator calculating a beam shape based on changes in intensity of the reflected charged particles and a position of the stage. The reference beam shape is calculated before writing. During writing, the beam shape based on reflected charged particles is calculated, and variation of the beam shape is added to the reference beam shape.
    Type: Grant
    Filed: July 23, 2018
    Date of Patent: December 15, 2020
    Assignee: NuFlare Technology, Inc.
    Inventor: Osamu Iizuka
  • Patent number: 10849333
    Abstract: Devices (10) and methods for pasteurizing and/or sterilizing particulate material. The devices contain at least one electron source (20) for generating an electron beam and a treatment zone (19) in which the material is pasteurized and/or sterilized by the electron beam. The device (10) comprises a vibration surface (11) which vibrates to convey and individualize the material. The first vibration surface (11) has a plurality of grooves (12) into which the material is conveyed and individualized. The device (10) has a good channel (21) in which the material is pasteurized and/or sterilized by the electron beam in the region of the treatment zone (19). The device (10) has at least one auxiliary channel (22) through which a fluid flows between the electron source (20) and the good channel (21) and is separated from the good channel (21). A cartridge (24), for pasteurizing and/or sterilizing particulate material, is also disclosed.
    Type: Grant
    Filed: August 17, 2017
    Date of Patent: December 1, 2020
    Assignee: BUEHLER AG
    Inventors: Nicolas Meneses, Martin Hersche, Alasdair Currie, Niklaus Schönenberger, Thomas Scheiwiller
  • Patent number: 10832827
    Abstract: Disclosed is a system for irradiating a target. The system includes a particle accelerator configured to at least emit an irradiation beam along an axis, a target-holder mounting outside the accelerator, a radiation-protection enclosure surrounding the target-holder mounting, and a deflection device. The particle accelerator is positioned outside the enclosure. The target-holder mounting includes at least one port configured to receive a target holder for a target to be irradiated. The target-holder mounting is stationary relative to the particle accelerator. The port is offset relative to the axis of the irradiation beam. The deflection device is positioned in the radiation-protection enclosure and is configured to divert the irradiation beam towards the port of the target holder in which the target to be irradiated is inserted.
    Type: Grant
    Filed: March 24, 2016
    Date of Patent: November 10, 2020
    Assignee: P M B
    Inventors: Nicolas Masse, Nancy Tannoury, Alain Perez Delaume
  • Patent number: 10825646
    Abstract: A positioning system can include a guide, a carrier element configured to engage and convey a workpiece, a motor having a mover element, a restraint coupled to the carrier element, and an actuator disposed between the restraint and the carrier element. The guide can be movable relative to a horizontal reference plane such that the carrier element coupled to the guide is inclined with respect to the horizontal reference plane. At least the mover element of the motor can be coupled to the carrier element and can be configured to move the carrier element along the guide. The restraint can be configured to selectively engage the guide to restrain movement of the carrier element in at least one direction along the guide when the guide is inclined out of the horizontal reference plane. The actuator can be configured to displace the carrier element relative to the restraint along the guide when the restraint is engaged with the guide.
    Type: Grant
    Filed: March 28, 2019
    Date of Patent: November 3, 2020
    Assignee: FEI Company
    Inventor: Graham LeGrove
  • Patent number: 10796875
    Abstract: One or more pellicles protect a cathode, the pellicles comprised of a thin layer of material that allows electrons to pass while preventing contamination of the cathode from elements originating beyond the pellicle or contamination of an outside apparatus from elements originating on or near the cathode. The pellicle can be supported by an insulator, the insulator in turn supported by a deflecting layer. The pellicle can be maintained at a positive voltage relative to the cathode, such that a voltage gradient is created between the cathode and the pellicle that accelerates electrons emitted by the cathode away from the cathode. The pellicle is located at an appropriate distance from the cathode to allow electron transmission matching the energy of the electrons at that distance.
    Type: Grant
    Filed: January 22, 2020
    Date of Patent: October 6, 2020
    Inventor: John Bennett
  • Patent number: 10784077
    Abstract: Systems and methods for implementing charged particle flooding in a charged particle beam apparatus are disclosed. According to certain embodiments, a charged particle beam system includes a charged particle source and a controller which controls the charged particle beam system to emit a charged particle beam in a first mode where the beam is defocused and a second mode where the beam is focused on a surface of a sample.
    Type: Grant
    Filed: August 2, 2018
    Date of Patent: September 22, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Frank Nan Zhang, Zhongwei Chen, Yixiang Wang, Ying Crystal Shen
  • Patent number: 10770262
    Abstract: A scanning electron microscope (SEM) system includes an SEM objective that emits an electron beam toward a sample, causing emission of charged particles including secondary electrons, Auger electrons, backscattered electrons, anions and cations. The SEM system includes electron optics elements that are configured to establish electric fields around the sample that accelerate charged particles toward a detector. A two-dimensional distribution of locations of incidence of the charged particles on the detector is indicative of energies of the charged particles and their emission angles from the sample. A three-dimensional spatial distribution of charged particles emitted from the sample is recovered by performing an Abel transform over the distribution on the detector. The energies and emission angles of the charged particles are then determined from the three-dimensional spatial distribution.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: September 8, 2020
    Assignee: National Technology & Engineering Solutions of Sandia, LLC
    Inventors: David W. Chandler, Kimberlee Chiyoko Celio
  • Patent number: 10755893
    Abstract: A charged particle beam writing method includes acquiring the deviation amount of the deflection position per unit tracking deflection amount with respect to each tracking coefficient of a plurality of tracking coefficients having been set for adjusting the tracking amount to shift the deflection position of a charged particle beam on the writing target substrate in order to follow movement of the stage on which the writing target substrate is placed, extracting a tracking coefficient based on which the deviation amount of the deflection position per the unit tracking deflection amount is closest to zero among the plurality of tracking coefficients, and writing a pattern on the writing target substrate with the charged particle beam while performing tracking control in which the tracking amount has been adjusted using the tracking coefficient extracted.
    Type: Grant
    Filed: January 29, 2019
    Date of Patent: August 25, 2020
    Assignee: NuFlare Technology, Inc.
    Inventors: Rieko Nishimura, Takahito Nakayama
  • Patent number: 10747012
    Abstract: A method of depositing a variable thickness material includes providing a substrate and providing a shadow mask having a first region with a first aperture dimension to aperture periodicity ratio and a second region with a second aperture dimension to aperture periodicity ratio less than the first aperture dimension to aperture periodicity ratio. The method also includes positioning the shadow mask adjacent the substrate and performing a plasma deposition process on the substrate to deposit the variable thickness material. A layer thickness adjacent the first region is greater than a layer thickness adjacent the second region.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: August 18, 2020
    Assignee: Magic Leap, Inc.
    Inventors: Shuqiang Yang, Vikramjit Singh, Kang Luo, Nai-Wen Pi, Frank Y. Xu
  • Patent number: 10714311
    Abstract: An individual beam detector for multiple beams includes a thin film in which a passage hole smaller than a pitch between beams of multiple beams and larger than the diameter of a beam is formed and through which the multiple beams can penetrate, a support base to support the thin film in which an opening is formed under the region including the passage hole, and the width size of the opening is formed to have a temperature of the periphery of the passage hole higher than an evaporation temperature of impurities adhering to the periphery in the case that the thin film is irradiated with the multiple beams, and a sensor arranged, at the position away from the thin film by a distance based on which a detection target beam having passed the passage hole can be detected by the sensor as a detection value with contrast discernible.
    Type: Grant
    Filed: November 2, 2018
    Date of Patent: July 14, 2020
    Assignees: NuFlare Technology, Inc., NuFlare Technology America, Inc.
    Inventors: Yoshikuni Goshima, Victor Katsap, Rodney Kendall
  • Patent number: 10663746
    Abstract: According to various embodiments, a collimator includes a substrate defining a plurality of channels through the substrate. The substrate includes a first surface and a second surface opposite the first surface. Each of the channels includes a first aperture exposed from the first surface, a second aperture between the first surface and the second surface, and a third aperture exposed from the second surface. The first aperture and the third aperture are larger than the second aperture.
    Type: Grant
    Filed: November 9, 2016
    Date of Patent: May 26, 2020
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Yuan-Feng Chiang, Tsung-Tang Tsai, Min Lung Huang
  • Patent number: 10566168
    Abstract: One or more pellicles protect a cathode, the pellicles comprised of a thin layer of material that allows electrons to pass while preventing contamination of the cathode from elements originating beyond the pellicle or contamination of an outside apparatus from elements originating on or near the cathode. The pellicle can be supported by an insulator, the insulator in turn supported by a deflecting layer. The pellicle can be maintained at a positive voltage relative to the cathode, such that a voltage gradient is created between the cathode and the pellicle that accelerates electrons emitted by the cathode away from the cathode. The pellicle is located at an appropriate distance from the cathode to allow electron transmission matching the energy of the electrons at that distance.
    Type: Grant
    Filed: August 10, 2018
    Date of Patent: February 18, 2020
    Inventor: John Bennett
  • Patent number: 10553395
    Abstract: An ion beam irradiation device is provided and including: a substrate holder that holds a substrate; a rotating mechanism that rotates the substrate holder about a center portion of the substrate being held; a reciprocating mechanism that reciprocates the substrate holder and the rotating mechanism in the moving direction; an ion beam irradiator that irradiates the substrate with an ion beam; and a control device that controls the rotating mechanism and the reciprocating mechanism. The ion beam has a center region where the beam current density is a predetermined value or more in the moving direction, and a peripheral region where the beam current density is less than the predetermined value, a center region size in the direction orthogonal to the moving direction is larger than a substrate size in the direction orthogonal to the moving direction.
    Type: Grant
    Filed: April 21, 2017
    Date of Patent: February 4, 2020
    Assignee: NISSIN ELECTRIC CO., LTD.
    Inventor: Hiroshi Inami
  • Patent number: RE49732
    Abstract: A multi-beamlet charged particle beamlet lithography system for transferring a pattern to a surface of a substrate. The system comprises a projection system (311) for projecting a plurality of charged particle beamlets (7) onto the surface of the substrate; a chuck (313) moveable with respect to the projection system; a beamlet measurement sensor (i.a. i.e., 505, 511) for determining one or more characteristics of one or more of the charged particle beamlets, the beamlet measurement sensor having a surface (501) for receiving one or more of the charged particle beamlets; and a position mark measurement system for measuring a position of a position mark (610, 620, 635), the position mark measurement system comprising an alignment sensor (361, 362). The chuck comprises a substrate support portion for supporting the substrate, a beamlet measurement sensor portion (460) for accommodating the surface of the beamlet measurement sensor, and a position mark portion (470) for accommodating the position mark.
    Type: Grant
    Filed: May 30, 2019
    Date of Patent: November 21, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Paul IJmert Scheffers, Jan Andries Meijer, Erwin Slot, Vincent Sylvester Kuiper, Niels Vergeer