With Target Means Patents (Class 250/398)
  • Patent number: 9281002
    Abstract: Disclosed herein are near field transducers (NFTs) that include either silver, copper, or aluminum and one or more secondary elements.
    Type: Grant
    Filed: June 24, 2014
    Date of Patent: March 8, 2016
    Assignee: Seagate Technology LLC
    Inventors: Justin Glen Brons, Tong Zhao, Sethuraman Jayashankar, Steve C. Riemer, Michael C. Kautzky
  • Patent number: 9224576
    Abstract: A particle-optical arrangement comprises a charged-particle source for generating a beam of charged particles; a multi-aperture plate arranged in a beam path of the beam of charged particles, wherein the multi-aperture plate has a plurality of apertures formed therein in a predetermined first array pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the multi-aperture plate, and wherein a plurality of beam spots is formed in an image plane of the apparatus by the plurality of beamlets, the plurality of beam spots being arranged in a second array pattern; and a particle-optical element for manipulating the beam of charged particles and/or the plurality of beamlets; wherein the first array pattern has a first pattern regularity in a first direction, and the second array pattern has a second pattern regularity in a second direction electron-optically corresponding to the first direction, and wherein the second regularity is higher than the first re
    Type: Grant
    Filed: January 27, 2014
    Date of Patent: December 29, 2015
    Assignees: CARL ZEISS MICROSCOPY GMBH, APPLIED MATERIALS ISRAEL, LTD.
    Inventors: Rainer Knippelmeyer, Oliver Kienzle, Thomas Kemen, Heiko Mueller, Stephan Uhlemann, Maximilian Haider, Antonio Casares, Steven Rogers
  • Patent number: 9171691
    Abstract: A film target for laser-induced particle acceleration includes a first target layer on which a laser is incident; an intermediate layer located behind the first target layer along a propagating direction of the laser, and in which an intended ion beam is generated; and a second target layer located opposite to the first target layer with the intermediate layer interposed therebetween.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: October 27, 2015
    Assignee: Korea Atomic Energy Research Institute
    Inventors: Kyung Nam Kim, Kitae Lee, Seong Hee Park, Young Uk Jeong, Kyu-Ha Jang, Ha-Na Kim, Byung Heon Han
  • Patent number: 9123501
    Abstract: A diffraction aberration corrector formed by the multipole of the solenoid coil ring and having a function of adjusting the degree of orthogonality or axial shift of the vector potential with respect to the beam axis. In order to cause a phase difference, the diffraction aberration corrector that induces a vector potential, which is perpendicular to the beam axis and has a symmetrical distribution within the orthogonal plane with respect to the beam axis, is provided near the objective aperture and the objective lens. A diffracted wave traveling in a state of being inclined from the beam axis passes through the ring of the magnetic flux. Since the phase difference within the beam diameter is increased by the Aharonov-Bohm effect due to the vector potential, the intensity of the electron beam on the sample is suppressed.
    Type: Grant
    Filed: December 26, 2011
    Date of Patent: September 1, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Muneyuki Fukuda, Yoichi Ose, Mitsugu Sato, Hiroyuki Ito, Hiroshi Suzuki, Naomasa Suzuki
  • Patent number: 9112542
    Abstract: A wireless power repeater for transferring power from a wireless power transmitter to a wireless power receiver according to the embodiment includes a repeater resonant unit resonance-coupled with the wireless power transmitter for transferring the received power to the wireless power receiver, and a first shielding unit having a shape to be transformed according to pressure applied to the wireless power repeater for adjusting an amount of a magnetic field transferred from the repeater resonant unit to the wireless power receiver.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: August 18, 2015
    Assignee: LG INNOTEK CO., LTD.
    Inventor: Sung Hyun Leem
  • Patent number: 9087960
    Abstract: Disclosed herein is a light emitting diode, the structure of the light emitting diode comprises a substrate, a first-type semiconductor layer, a structural layer, a light emitting layer, a second-type semiconductor layer, a transparent conductive layer, a first contact pad and a second contact pad in regular turn. The structural layer comprises a stacked structure having a trapezoid sidewall and nano columns extending from the trapezoid sidewall in regular arrangement. Also, a method for fabricating the light emitting diode is disclosed.
    Type: Grant
    Filed: June 6, 2013
    Date of Patent: July 21, 2015
    Assignee: LEXTAR ELECTRONICS CORPORATION
    Inventors: Chang-Chin Yu, Hsiu-Mu Tang, Mong-Ea Lin
  • Patent number: 9084336
    Abstract: A single-ended DC linear accelerator for the generation of high-current, high-energy ion beams of H, D or He includes an ion source located in a high-voltage terminal for the creation of the ion beam, an analyzing magnet to purify the ion beam, an accelerating tube and DC high-voltage power supply for accelerating the ions of interest to high energies and a separate pumping tube that transports the vast majority of the neutral gas from the ion source at high-voltage towards a vacuum pump at ground potential, thereby preventing the adverse influence of increased vacuum pressure inside the accelerating tube to facilitate stable acceleration of high-current beams to high energies in single-ended DC linear accelerators. The resulting high-current accelerator for H, D or He has diverse applications, including ion beam cancer therapy, cyclotron injection, silicon cleaving, ion implantation in semiconductor devices and NRA.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: July 14, 2015
    Assignee: High Voltage Engineering Europa B.V.
    Inventor: Dirk Jozef Willem Mous
  • Patent number: 9053893
    Abstract: A radiation generator includes an insulator, with an ion source carried within the insulator and configured to generate ions and indirectly generate undesirable particles. An extractor electrode is carried within the insulator downstream of the ion source and has a first potential. An intermediate electrode is carried within the insulator downstream of the extractor electrode at a ground potential and is shaped to capture the undesirable conductive particles. In addition, a suppressor electrode is carried within the insulator downstream of the intermediate electrode and has a second potential opposite in sign to the first potential. A target is carried within the insulator downstream of the suppressor electrode. The extractor electrode and the suppressor electrode have a voltage therebetween such that an electric field generated in the insulator accelerates the ions generated by the ion source toward the target.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: June 9, 2015
    Assignee: Schlumberger Technology Corporation
    Inventors: Jani Reijonen, Frederic Gicquel, Joel L. Groves, Peter Wraight, Kenneth E. Stephenson
  • Patent number: 9035249
    Abstract: A scanning charged particle beam device configured to image a specimen is described. The scanning charged particle beam device includes a source of charged particles, a condenser lens for influencing the charged particles, an aperture plate having at least two aperture openings to generate at least two primary beamlets of charged particles, at least two deflectors, wherein the at least two deflectors are multi-pole deflectors, a multi-pole deflector with an order of poles of 8 or higher, an objective lens, wherein the objective lens is a retarding field compound lens, a beam separator configured to separate the at least two primary beamlets from at least two signal beamlets, a beam bender, or a deflector or a mirror configured to deflect the at least two signal beamlets, wherein the beam bender is a hemispherical beam bender or beam bender having at least two curved electrodes, and at least two detector elements.
    Type: Grant
    Filed: February 6, 2014
    Date of Patent: May 19, 2015
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventors: Jürgen Frosien, Dieter Winkler, Benjamin John Cook
  • Patent number: 9024281
    Abstract: An apparatus for implanting ions of a selected species into a semiconductor wafer includes an ion source, an accelerator, and an magnetic structure. The ion source is configured to generate an ion beam. The accelerator is configured to accelerate the ion beam, where the accelerated ion beam includes at least a first portion having a first energy and a second portion having a second energy. The magnetic structure is configured to deflect the first portion of the accelerated ion beam in a first path trajectory and the second portion of the accelerated ion beam in a second path trajectory. The first and second path trajectories have a same incident angle relative to a surface region of the semiconductor wafer.
    Type: Grant
    Filed: May 31, 2013
    Date of Patent: May 5, 2015
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Hanming Wu, Chia Hao Lee, John Chen
  • Publication number: 20150115169
    Abstract: Disclosed are methods, apparatus, systems, processes and other inventions relating to: ion sources with controlled electro-pneumatic superposition, ion source synchronized to RF multipole, ion source with charge injection, optimized control in active feedback system, radiation supported charge-injection liquid spray, ion source with controlled liquid injection as well as various embodiments and combinations of each of the foregoing.
    Type: Application
    Filed: June 25, 2013
    Publication date: April 30, 2015
    Inventor: Andreas Hieke
  • Patent number: 8993979
    Abstract: A beam control assembly to shape a ribbon beam of ions for ion implantation includes a first bar, second bar, first coil of windings of electrical wire, second coil of windings of electrical wire, first electrical power supply, and second electrical power supply. The first coil is disposed on the first bar. The first coil is the only coil disposed on the first bar. The second bar is disposed opposite the first bar with a gap defined between the first and second bars. The ribbon beam travels between the gap. The second coil is disposed on the second bar. The second coil is the only coil disposed on the second bar. The first electrical power supply is connected to the first coil without being electrically connected to any other coil. The second electrical power supply is connected to the second coil without being electrically connected to any other coil.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: March 31, 2015
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventor: Jiong Chen
  • Patent number: 8993985
    Abstract: The present invention provides a drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams, including an aperture array configured to include a plurality of apertures for shaping the respective charged particle beams, a deflection unit configured to include a plurality of first deflectors which are arranged on a side, with respect to the aperture array, of a charged particle source for radiating a charged particle beam and which deflect the respective charged particle beams, and to individually change irradiated positions of the respective charged particle beams on the aperture array by driving the respective first deflectors, and a controller configured to control deflection of the charged particle beams by the first deflectors to reduce a dispersion of intensities of the respective charged particle beams on the substrate.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: March 31, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kentaro Sano, Go Tsuchiya
  • Patent number: 8993980
    Abstract: An ion beam scanner includes a first scanner stage having a first opening to transmit an ion beam, the first scanner stage to generate, responsive to a first oscillating deflection signal, a first oscillating deflecting field within the first opening; a second scanner stage disposed downstream of the first scanner stage and having a second opening to transmit the ion beam, the second scanner stage to generate, responsive to a second oscillating deflection signal, a second oscillating deflecting field within the second opening that is opposite in direction to the first oscillating deflecting field, and a scan controller to synchronize the first oscillating deflection signal and second oscillating deflection signal to generate a plurality of ion trajectories when the scanned ion beam exits the second stage that define a common focal point.
    Type: Grant
    Filed: January 24, 2014
    Date of Patent: March 31, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Kenneth H. Purser, Christopher Campbell, Frank Sinclair, Robert C. Lindberg, Joseph C. Olson
  • Publication number: 20150083929
    Abstract: The invention provides a charged particle beam system wherein the middle section of the focused ion beam column is biased to a high negative voltage allowing the beam to move at higher potential than the final beam energy inside that section of the column. At low kV potential, the aberrations and coulomb interactions are reduced, which results in significant improvements in spot size.
    Type: Application
    Filed: December 9, 2014
    Publication date: March 26, 2015
    Applicant: FEI Company
    Inventor: Mostafa Maazouz
  • Patent number: 8987679
    Abstract: The present invention relates to a projection lens assembly module for directing a multitude of charged particle beamlets onto an image plane located in a downstream direction, and a method for assembling such a projection lens assembly. In particular the present invention discloses a modular projection lens assembly with enhanced structural integrity and/or increased placement precision of its most downstream electrode.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: March 24, 2015
    Assignee: Mapper Lithography IP B.V.
    Inventors: Johan Joost Koning, Stijn Willem Herman Steenbrink, Bart Schipper
  • Patent number: 8963084
    Abstract: A charged particle detector arrangement is described. The detector arrangement includes a detection element and a collector electrode configured to collect charged particles released from the detection element upon impact of signal charged particles.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: February 24, 2015
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik GmbH
    Inventor: Stefan Lanio
  • Patent number: 8963099
    Abstract: An electrode to be used for an electrostatic lens, wherein the electrode at least includes: a first substrate having a first through-hole and a second substrate having a second through-hole; the first substrate having a thickness smaller than the second substrate; the first through-hole having a diameter smaller than the second through-hole; the second substrate having a specific resistance smaller than the first substrate, wherein the first substrate and the second substrate are superimposed so that the first through-hole and the second through-hole are aligned relative to each other. Notching taking place near any of the through-holes in a dry etching process can be reduced, and thus, the through-holes can be formed accurately.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: February 24, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shuji Yamada
  • Patent number: 8963108
    Abstract: A gantry apparatus for a proton treatment system, including a proton beam nozzle to emit a proton beam to a targeted region of a patient, a gantry wheel to support the proton beam nozzle to direct the proton beam to an isocenter of the gantry wheel corresponding to a center of the targeted region, a plurality of adjustable bearings incrementally spaced apart along an outer diametrical surface of the gantry wheel, and a bearing surface to support a portion of the adjustable bearings such that when the wheel is rotated from a first angular position to a second angular position, at least a portion of the bearings contact the bearing surface to raise or lower the gantry wheel to realign the proton beam to the center of the targeted region.
    Type: Grant
    Filed: October 28, 2013
    Date of Patent: February 24, 2015
    Assignee: ProNova Solutions, LLC
    Inventors: Joseph C. Matteo, Jonathan Huber, Peter Hansen
  • Patent number: 8957393
    Abstract: A scanning power source that outputs the excitation current for a scanning electromagnet and an irradiation control apparatus that controls the scanning power source; the irradiation control apparatus is provided with a scanning electromagnet command value learning generator that evaluates the result of a run-through, which is a series of irradiation operations through a command value for the excitation current outputted from the scanning power source, that updates the command value for the excitation current, when the result of the evaluation does not satisfy a predetermined condition, so as to perform the run-through, and that outputs to the scanning power source the command value for the excitation current such that its evaluation result has satisfied the predetermined condition.
    Type: Grant
    Filed: August 5, 2014
    Date of Patent: February 17, 2015
    Assignee: Mitsubishi Electric Corporation
    Inventor: Takaaki Iwata
  • Patent number: 8952339
    Abstract: A chromatic aberration corrector and method of controlling this chromatic aberration corrector is offered. The corrector has first and second multipole lenses for producing quadrupole fields and first and second transfer lenses each having a focal length of f. The first and second multipole lenses are arranged on opposite sides of the first and second transfer lenses. The distance between the first multipole lens and the first transfer lens is f. The distance between the first transfer lens and the second transfer lens is 2f. The distance between the second transfer lens and the second multipole lens is f??. The corrector is so designed that the relationship, f>?>0, holds.
    Type: Grant
    Filed: February 20, 2013
    Date of Patent: February 10, 2015
    Assignee: JEOL Ltd.
    Inventor: Fumio Hosokawa
  • Publication number: 20150034836
    Abstract: To improve the efficiency of generation of chromatic aberrations of an energy filter for reducing energy distribution. Mounted are an energy filter for primary electrons, the energy filter having a beam slit and a pair of a magnetic deflector and an electrostatic deflector that are superimposed with each other. An electron lens is arranged between the beam slit and the pair of the magnetic deflector and the electrostatic deflector.
    Type: Application
    Filed: July 29, 2014
    Publication date: February 5, 2015
    Inventors: Yasunari Sohda, Takeyoshi Ohashi, Takafumi Miwa, Hajime Kawano
  • Patent number: 8946650
    Abstract: A particle beam device and a method for analyzing and/or treating an object is disclosed. According to the described system, the position of a crossover on an optical axis of a particle beam device can be freely adjusted, even in the case of a fixed extractor potential and a fixed high voltage. The particle beam device has a first electrode unit with three electrode apparatuses, a second electrode unit with three electrode apparatuses, and an acceleration unit. The method according to the system described herein uses the particle beam device.
    Type: Grant
    Filed: September 22, 2011
    Date of Patent: February 3, 2015
    Assignee: Carl Zeiss Microscopy GmbH
    Inventor: Michael Schnell
  • Patent number: 8946649
    Abstract: Provided is a charged particle beam device to improve energy solution of its energy filter. In one embodiment, a charged particle beam device includes a deflector to deflect charged particles emitted from a sample to an energy filter, and a change in brightness value with the change of voltage applied to the energy filter is found for each of a plurality of deflection conditions for the deflector, and a deflection condition such that a change in the brightness value satisfies a predetermined condition is set as the deflection condition for the deflector.
    Type: Grant
    Filed: April 16, 2012
    Date of Patent: February 3, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuko Sasaki, Hiroyuki Ito
  • Patent number: 8941077
    Abstract: A deceleration apparatus capable of decelerating a short spot beam or a tall ribbon beam is disclosed. In either case, effects tending to degrade the shape of the beam profile are controlled. Caps to shield the ion beam from external potentials are provided. Electrodes whose position and potentials are adjustable are provided, on opposite sides of the beam, to ensure that the shape of the decelerating and deflecting electric fields does not significantly deviate from the optimum shape, even in the presence of the significant space-charge of high current low-energy beams of heavy ions.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: January 27, 2015
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventors: Nicholas White, Zhimin Wan, Erik Collart
  • Patent number: 8941086
    Abstract: A charged particle beam irradiation apparatus includes: an irradiation section configured to irradiate an irradiated body with a charged particle beam; a gantry in which an irradiation section is disposed and which can rotate or oscillate around a central axis line; an enclosure in which the irradiated body is disposed; and a gantry-side transport line that has an inlet section on which a charged particle beam emitted from an accelerator is incident and that is supported on the gantry and configured to transport an incident charged particle beam to the irradiation section, in which the gantry has a first bearing section provided between the inlet section of the gantry-side transport line and the enclosure, and a second bearing section provided on a side opposite to the first bearing section with respect to the enclosure.
    Type: Grant
    Filed: May 8, 2014
    Date of Patent: January 27, 2015
    Assignee: Sumitomo Heavy Industries, Ltd.
    Inventor: Satoru Yajima
  • Patent number: 8933420
    Abstract: A particle beam therapy system comprising a treatment table, a treatment table control unit and an irradiation control unit configured to output an instruction for controlling the treatment table control unit, an accelerator and a scanning electromagnet, wherein after the treatment table control unit controls the treatment table so as for a patient isocenter which is reference position of an affected area of a patient to move to a position of an irradiation isocenter which is set at a position which is closer to an irradiation nozzle than an equipment isocenter which is reference of positional relation of the irradiation nozzle and the treatment table, the irradiation control unit outputs an instruction for irradiating the patient with a particle beam.
    Type: Grant
    Filed: November 2, 2011
    Date of Patent: January 13, 2015
    Assignee: Mitsubishi Electric Corporation
    Inventors: Masahiro Ikeda, Hisashi Harada, Kazushi Hanakawa, Toshihiro Otani, Tadashi Katayose, Taizo Honda, Yukiko Yamada, Yuehu Pu
  • Patent number: 8916837
    Abstract: A charged particle lithography system for transferring a pattern onto the surface of a target, comprising a source for generating a charged particle beam, a first chamber housing the source, a collimating system for collimating the charged particle beam, a second chamber housing the collimating system, and a first aperture array element for generating a plurality of charged particle subbeams from the collimated charged particle beam.
    Type: Grant
    Filed: October 24, 2013
    Date of Patent: December 23, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Laura Dinu-Gürtler, Willem Henk Urbanus, Marco Jan-Jaco Wieland, Stijn Willem Herman Karel Steenbrink
  • Publication number: 20140361193
    Abstract: A multi charged particle beam writing method includes dividing a maximum irradiation time per a shot into a digit number of first irradiation time periods, each of which is calculated by multiplying a corresponding second gray scale value by the quantization unit, where second gray scale values are gray scale values defined in decimal numbers converted from each digit value of data of binary numbers; dividing second irradiation time periods, which are a part of the first irradiation time periods into third irradiation time periods; dividing irradiation of each beam into the first irradiation steps of the third irradiation time periods and second irradiation steps of the remaining undivided first irradiation time periods; and irradiating a target object, in order, with the multi beams such that the groups are respectively composed of combination of at least two irradiation steps of first irradiation steps and second irradiation steps and the groups continue in order.
    Type: Application
    Filed: June 5, 2014
    Publication date: December 11, 2014
    Applicant: NuFlare Technology, Inc.
    Inventor: Hiroshi MATSUMOTO
  • Patent number: 8907278
    Abstract: Provided is a charged particle beam applied apparatus for observing a sample, provided with: a beam-forming section that forms a plurality of charged particle beams on a sample; an energy control unit that controls the incident energy of the plurality of charged particle beams that are irradiated onto the sample; a beam current control unit that controls the beam current of the plurality of charged particle beams that are irradiated onto the sample; and a beam arrangement control unit that controls the arrangement in which the plurality of charged particle beams is irradiated onto the sample. The beam-forming section includes a beam splitting electrode, a lens array upper electrode, a lens array middle electrode, a lens array lower electrode and a movable stage, and functions as the beam current control unit or the beam arrangement control unit through selection, by the movable stage, of a plurality of aperture pattern sets.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: December 9, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Momoyo Enyama, Hiroya Ota, Taku Ninomiya, Mari Nozoe
  • Publication number: 20140346369
    Abstract: A multi charged particle beam writing apparatus includes a dose calculation unit to calculate a first dose resolving the resist of the target object, for a first beam of the multiple beams, corresponding to a pattern forming region, in which a pattern is arranged, and to calculate a second dose not resolving the resist, for a second beam of the multiple beams, corresponding to a no-pattern forming region, which surrounds the whole perimeter of the pattern and in which no pattern is arranged, and a deflection control unit to control a plural blankers so that a dose of the first beam is to be the first dose calculated and a dose of the second beam is to be the second dose calculated.
    Type: Application
    Filed: May 15, 2014
    Publication date: November 27, 2014
    Applicant: NuFlare Technology, Inc.
    Inventor: Hironobu MATSUMOTO
  • Patent number: 8890094
    Abstract: A projection lens arrangement for a charged particle multi-beamlet system, the projection lens arrangement including one or more plates and one or more arrays of projection lenses. Each plate has an array of apertures formed in it, with projection lenses formed at the locations of the apertures. The arrays of projection lenses form an array of projection lens systems, each projection lens system comprising one or more of the projection lenses formed at corresponding points of the one or more arrays of projection lenses.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: November 18, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan Jaco Wieland, Bert Jan Kampherbeek, Alexander Hendrik Vincent Van Veen, Pieter Kruit, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8884245
    Abstract: The invention describes a corrector for the correction of chromatic aberrations in a particle lens, such as used in a SEM or a TEM. So as to reduce the stability demands on the power supplies of such a corrector, the energy with which the particle beam passes through the corrector is lower than the energy with which the beam passes through the lens to be corrected.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: November 11, 2014
    Assignee: FEI Company
    Inventors: Alexander Henstra, Michael Ross Scheinfein
  • Patent number: 8884246
    Abstract: An optical system for a charged particle includes a first member though which a charged particle beam is transmitted and a second member to control optically the charged particle beam transmitted through the first member. The second member has a fixing portion fixed to the first member. A slit is arranged between a part of the second member and the fixing portion to separate the part of the second member from the fixing portion, such that the part of the second member is a cantilever beam structure pivotal in relation to the fixing portion.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: November 11, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Takahisa Kato
  • Patent number: 8878142
    Abstract: A charged particle beam irradiation apparatus includes: a transport line configured to transport a charged particle beam; and a rotating gantry rotatable around a rotation axis, wherein the transport line has an inclined section configured to make the charged particle beam advancing in a direction of the rotation axis advance to be inclined so as to become more distant from the rotation axis, and is formed so as to turn the charged particle beam advanced in the inclined section to a rotational direction of the rotation axis and bend the charged particle beam turned to the rotational direction to the rotation axis side, the rotating gantry is formed of a tubular body which can accommodate an irradiated body and supports the transport line, and the inclined section is disposed to pass through the inside of the tubular body of the rotating gantry.
    Type: Grant
    Filed: October 25, 2013
    Date of Patent: November 4, 2014
    Assignee: Sumitomo Heavy Industries, Ltd.
    Inventor: Masami Sano
  • Patent number: 8878129
    Abstract: According to one embodiment, a pattern measurement apparatus includes a scan controller, a focus controller, a stage, a sensor, a signal processor, and a measurement unit. The scan controller is configured to control a scanning direction of an electron beam. The focus controller is configured to control a focus position of the electron beam. The stage is configured to have a substrate placed on the stage, a pattern being provided in the substrate. The sensor is configured to sense secondary electrons due to the electron beam irradiated onto the pattern. The signal processor is configured to process a signal sensed by the sensor. The signal processor is configured to determine at least one of third signals from at least one of first signals and at least one of second signals. The measurement unit is configured to measure a position of the pattern from the third signals.
    Type: Grant
    Filed: March 4, 2014
    Date of Patent: November 4, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kentaro Kasa
  • Patent number: 8878143
    Abstract: A high-accuracy and high-speed lithographic pattern is acquired by forming a square lattice matrix beam group with an interval which is an integral multiple of a beam size in a two-dimensional plane, switching on and off the mesh of a device to be drawn by a bitmap signal, forming a desired beam shape, deflecting the beam to a necessary position, and radiating a beam with a whole blanker being opened after the beam state is stabilized. On and off signals and a vector scan signal of each beam are provided, and the whole blanker is released after the beam is stabilized, and thus high-accuracy and high-speed lithography is performed with a small amount of data. When the total number of shots exceeds a constant value, the pattern data are modified and high-speed lithography is achieved. A semiconductor reversed bias p-n junction technique is preferably used for an individual blanker electrode.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: November 4, 2014
    Assignee: Param Corporation
    Inventor: Hiroshi Yasuda
  • Publication number: 20140319367
    Abstract: The present invention provides a drawing apparatus for performing drawing on a substrate with a charged particle beam, the apparatus including a controller configured to perform control of the drawing performed by movement of a stage and a blanking function of each of a plurality of charged particle optical systems, wherein the controller is configured to perform, with respect to a first charged particle optical system, of the plurality of charged particle optical systems, from a plurality of charged particle beams reach a first region and a second region, formed on the substrate and adjacent to each other, the control such that the drawing is performed only in one of the first and second regions with a first portion of charged particle beams of the plurality of charged particle beams with the stage moved in a second direction.
    Type: Application
    Filed: March 28, 2014
    Publication date: October 30, 2014
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Masato MURAKI, Yoshihiro HIRATA
  • Publication number: 20140322833
    Abstract: An apparatus includes an optical system configured to irradiate a substrate with a charged particle beam, a control unit configured to control an irradiation position of the charged particle beam, and a first measurement unit and a second measurement unit each configured to measure a surface position of the substrate. The first measurement unit and the second measurement unit have different characteristics in terms of charging. The control unit controls the irradiation position of the charged particle beam based on values measured by the first measurement unit and the second measurement unit.
    Type: Application
    Filed: April 18, 2014
    Publication date: October 30, 2014
    Applicant: Canon Kabushiki Kaisha
    Inventors: Wataru Yamaguchi, Hideki Ina, Masato Muraki
  • Patent number: 8859983
    Abstract: The invention relates to a method of exposing a target by means of a plurality of beamlets. First, a plurality of beamlets is provided. The beamlets are arranged in an array. Furthermore, a target to be exposed is provided. Subsequently, relative movement in a first direction between the plurality of beamlets and the target is created. Finally, the plurality of beamlets is moved in a second direction, such that each beamlet exposes a plurality of scan lines on the target. The relative movement in the first direction and the movement of the plurality of beamlets in the second direction are such that the distance between adjacent scan lines exposed by the plurality of beamlets is smaller than a projection pitch Pproj,X in the first direction between beamlets of the plurality of beamlets in the array.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: October 14, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventor: Marco Jan-Jaco Wieland
  • Patent number: 8843323
    Abstract: Complex gun code computations can be made to converge more quickly based on a selection of one or more relaxation parameters. An eigenvalue analysis is applied to error residuals to identify two error eigenvalues that are associated with respective error residuals. Relaxation values can be selected based on these eigenvalues so that error residuals associated with each can be alternately reduced in successive iterations. In some examples, relaxation values that would be unstable if used alone can be used.
    Type: Grant
    Filed: May 14, 2010
    Date of Patent: September 23, 2014
    Assignee: Los Alamos National Security, LLC
    Inventor: Eric M. Nelson
  • Patent number: 8841631
    Abstract: An electrostatic scanner to scan an ion beam in an ion implanter. The electrostatic scanner may include a first scan plate having a first inner surface that faces the ion beam, the first inner surface having a concave shape in a first plane that is perpendicular to a direction of propagation of the ion beam, and a second scan plate opposite the first scan plate separated by a gap to accept the ion beam the second scan plate having a second inner surface that faces the ion beam and a convex shape in the first plane, the first scan plate and second scan plate configured to generate an electrostatic field in the gap to scan the ion beam back and forth along a horizontal direction perpendicular to the direction of propagation of the ion beam.
    Type: Grant
    Filed: March 21, 2014
    Date of Patent: September 23, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Frank Sinclair, Joseph C. Olson, Edward W. Bell, Danielle Feldman
  • Publication number: 20140264066
    Abstract: A method for exposing a wafer in a charged particle lithography system. The method comprises generating a plurality of charged particle beamlets, the beamlets arranged in groups, each group comprising an array of beamlets; moving the wafer under the beamlets in a first direction at a wafer scan speed; deflecting the beamlets in a second direction substantially perpendicular to the first direction at a deflection scan speed, and adjusting the deflection scan speed to adjust a dose imparted by the beamlets on the wafer.
    Type: Application
    Filed: May 27, 2014
    Publication date: September 18, 2014
    Inventors: Teunis VAN DE PEUT, Marco Jan-Jaco WIELAND
  • Patent number: 8835867
    Abstract: A cellular-type PD unit is proposed and a plurality of the cellular-type PD units is used in pairs in a multi-axis magnetic lens for focusing a plurality of charged beams. First type PD units or second type PD units (called as hybrid PD unit as well) can be applied to cellular-type PD units to flexibly construct sub-lenses. Furthermore, magnetic shielding plates with a plurality of through openings can be placed above and/or below the multi-axis magnetic lens to make magnetic flux leaking out of the multi-axis magnetic lens vanish away rapidly outside the magnetic shielding plates.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: September 16, 2014
    Assignee: Hermes-Microvision, Inc.
    Inventors: Zhongwei Chen, Weiming Ren, Xuedong Liu
  • Patent number: 8829462
    Abstract: A multipole magnet for deflecting a beam of charged particles, comprising: a plurality of ferromagnetic poles arranged in a pole plane; a plurality of permanent magnets each having a magnetisation direction, and each being arranged to supply magnetomotive force to the plurality of ferromagnetic poles to produce a magnetic field along the pole plane in a beamline space between the poles; and a plurality of ferromagnetic flux conducting members arranged to channel magnetic flux from at least one of the plurality of permanent magnets; wherein the multipole magnet comprises an even number of ferromagnetic poles, each pole being arranged to diametrically oppose another of the poles in the pole plane along a pole axis, wherein each of the plurality of permanent magnets is associated with at least one of the plurality of poles and the magnetisation direction of each permanent magnet isorientated in the pole plane at an angle of at least 45° relative to the pole axis of the associated pole.
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: September 9, 2014
    Assignee: The Science and Technology Facilities Council
    Inventors: James Anthony Clarke, Benjamin John Arthur Shepherd, Neil Marks, Norbert Collomb
  • Patent number: 8829466
    Abstract: A scanning power source that outputs the excitation current for a scanning electromagnet and an irradiation control apparatus that controls the scanning power source; the irradiation control apparatus is provided with a scanning electromagnet command value learning generator that evaluates the result of a run-through, which is a series of irradiation operations through a command value for the excitation current outputted from the scanning power source, that updates the command value for the excitation current, when the result of the evaluation does not satisfy a predetermined condition, so as to perform the run-through, and that outputs to the scanning power source the command value for the excitation current such that its evaluation result has satisfied the predetermined condition.
    Type: Grant
    Filed: August 9, 2013
    Date of Patent: September 9, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventor: Takaaki Iwata
  • Patent number: 8803103
    Abstract: An inspection apparatus by an electron beam comprises: an electron-optical device 70 having an electron-optical system for irradiating the object with a primary electron beam from an electron beam source, and a detector for detecting the secondary electron image projected by the electron-optical system; a stage system 50 for holding and moving the object relative to the electron-optical system; a mini-environment chamber 20 for supplying a clean gas to the object to prevent dust from contacting to the object; a working chamber 31 for accommodating the stage device, the working chamber being controllable so as to have a vacuum atmosphere; at least two loading chambers 41, 42 disposed between the mini-environment chamber and the working chamber, adapted to be independently controllable so as to have a vacuum atmosphere; and a loader 60 for transferring the object to the stage system through the loading chambers.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: August 12, 2014
    Assignees: Ebara Corporation, Kabushiki Kaisha Toshiba
    Inventors: Mamoru Nakasuji, Nobuharu Noji, Tohru Satake, Masahiro Hatakeyama, Toshifumi Kimba, Hirosi Sobukawa, Shoji Yoshikawa, Takeshi Murakami, Kenji Watanabe, Tsutomu Karimata, Shin Oowada, Mutsumi Saito, Yuichiro Yamazaki, Takamitsu Nagai, Ichirota Nagahama
  • Publication number: 20140217305
    Abstract: A method for electron-beam writing to a medium includes positioning the medium within an e-beam writing machine so that the medium is supported by a stage and is exposed to an e-beam source. The method also includes writing a pattern to the medium using a plurality of independently-controllable beams of the e-beam source, in which the pattern comprises a plurality of parallel strips. Each of the parallel strips is written using multiple ones of the independently-controllable beams.
    Type: Application
    Filed: November 22, 2013
    Publication date: August 7, 2014
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8796644
    Abstract: The invention relates to a charged particle beam lithography system comprising: a charged particle optical column arranged in a vacuum chamber for projecting a charged particle beam onto a target, wherein the column comprises deflecting means for deflecting the charged particle beam in a deflection direction, a target positioning device comprising a carrier for carrying the target, and a stage for carrying and moving the carrier along a first direction, wherein the first direction is different from the deflection direction, wherein the target positioning device comprises a first actuator for moving the stage in the first direction relative to the charged particle optical column, wherein the carrier is displaceably arranged on the stage and wherein the target positioning device comprises retaining means for retaining the carrier with respect to the stage in a first relative position.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: August 5, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Jerry Peijster, Guido de Boer
  • Patent number: RE45206
    Abstract: Lithography system, sensor and method for measuring properties of a massive amount of charged particle beams of a charged particle beam system, in particular a direct write lithography system, in which the charged particle beams are converted into light beams by using a converter element, using an array of light sensitive detectors such as diodes, CCD or CMOS devices, located in line with said converter element, for detecting said light beams, electronically reading out resulting signals from said detectors after exposure thereof by said light beams, utilizing said signals for determining values for one or more beam properties, thereby using an automated electronic calculator, and electronically adapting the charged particle system so as to correct for out of specification range values for all or a number of said charged particle beams, each for one or more properties, based on said calculated property values.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: October 28, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Pieter Kruit, Erwin Slot, Tijs Frans Teepen, Marco Jan-Jaco Wieland, Stijin Willem Herman Karel Steenbrink