Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 11962121
    Abstract: A light emitting device includes a semiconductor laser element, a base member, and a cover. The base member includes a first alignment mark, a second alignment mark, a third alignment mark, and a fourth alignment mark. The base member has a disposition surface on which the semiconductor laser element is disposed. The cover is bonded to the base member to define a closed space in which the semiconductor laser element is arranged. The first alignment mark and the second alignment mark are arranged outside the closed space. The third alignment mark and the fourth alignment mark are arranged inside the closed space. A straight line connecting the first alignment mark and the second alignment mark is parallel to a straight line connecting the third alignment mark and the fourth alignment mark.
    Type: Grant
    Filed: April 18, 2023
    Date of Patent: April 16, 2024
    Assignee: NICHIA CORPORATION
    Inventors: Tadayuki Kitajima, Tomokazu Taji
  • Patent number: 11955309
    Abstract: An automatic adjustment method and an automatic adjustment device of a beam of a semiconductor apparatus, and a training method of a parameter adjustment model are provided. The automatic adjustment method of the beam of the semiconductor apparatus includes the following steps. The semiconductor apparatus generates the beam. A wave curve of the beam is obtained. The wave curve is segmented into several sections. The slope of each of the sections is obtained. Several environmental factors of the semiconductor apparatus are obtained. According to the slopes and the environmental factors, at least one parameter adjustment command of the semiconductor apparatus is analyzed through the parameter adjustment model.
    Type: Grant
    Filed: July 7, 2021
    Date of Patent: April 9, 2024
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Zheng-Yang Li, Chian-Chen Kuo, Yi-Cheng Lu, Ji-Fu Kung
  • Patent number: 11931489
    Abstract: A sterilization apparatus includes a main body, and a plurality of sterilization modules. The main body defines a sterilization space for sterilization of a sterilization target. The sterilization modules are disposed on a plurality of inner surfaces of the main body surrounding the sterilization space, respectively, and deliver germicidal light to the sterilization space. In addition, the sterilization module includes a support member and a plurality of light sources. A space between adjacent light sources disposed in some regions of the support member is different from a space between adjacent light sources disposed in the other regions of the support member.
    Type: Grant
    Filed: August 12, 2021
    Date of Patent: March 19, 2024
    Assignee: SEOUL VIOSYS CO., LTD.
    Inventors: Eun Ju Kim, Chung Hoon Lee, Jae Young Choi
  • Patent number: 11841166
    Abstract: The present invention relates to a semiconductor refrigeration and heating air conditioner which includes a body with an air outlet and air inlets, and also includes a semiconductor refrigeration assembly mounted in the body and located at the air outlet, metallic conductive sheets connected with the semiconductor refrigeration assembly, a water tank mounted at the lower end inside the body, a cooling water receptacle mounted at the lower end inside the body, a heat dissipation assembly mounted in the cooling water receptacle, and fan blades mounted in the body and close to the air inlets, wherein the semiconductor refrigeration assembly is connected with the heat dissipation assembly through a connection wire, the metallic conductive sheets face the air outlet, and the water tank is connected with the cooling water receptacle through a water pump assembly.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: December 12, 2023
    Inventor: Siu Tai Chau
  • Patent number: 11833608
    Abstract: There is provided a laser processing method for performing laser processing on a wafer having a functional layer on a substrate. The laser processing method includes a blackening step of emitting a pulsed laser beam of a wavelength transparent to the functional layer from a laser oscillator and blackening the functional layer by irradiating the functional layer with the pulsed laser beam of energy equal to or higher than a processing threshold value at which the functional layer is processed such that an overlap ratio of the pulsed laser beam successively applied to the functional layer is equal to or more than 90% and less than 100%, and a groove processing step of forming a laser-processed groove by irradiating the blackened functional layer with the pulsed laser beam and making the blackened functional layer absorb the pulsed laser beam, after performing the blackening step.
    Type: Grant
    Filed: March 4, 2022
    Date of Patent: December 5, 2023
    Assignee: DISCO CORPORATION
    Inventors: Naotoshi Kirihara, Takamasa Kaneko
  • Patent number: 11830699
    Abstract: An e-beam device includes a cold-field emission source to emit electrons and an extractor electrode to be positively biased with respect to the cold-field emission source to extract the electrons from the cold-field emission source. The extractor electrode has a first opening for the electrons. The e-beam device also includes a mirror electrode with a second opening for the electrons. The mirror electrode is configurable to be positively biased with respect to the extractor electrode during a first mode of operation and to be negatively biased with respect to the extractor electrode during a second mode of operation. The extractor electrode is disposed between the cold-field emission source and the mirror electrode. The e-beam device further includes an anode to be positively biased with respect to the extractor electrode and the cold-field emission source. The mirror electrode is disposed between the extractor electrode and the anode.
    Type: Grant
    Filed: July 6, 2021
    Date of Patent: November 28, 2023
    Assignee: KLA Corporation
    Inventors: Luca Grella, Nikolai Chubun, Stephen Pitts
  • Patent number: 11822260
    Abstract: An apparatus for removing a residue of an EUV light source vessel including an internal side surface having a curved surface is provided. The apparatus includes a frame portion configured to be disposed on a bottom surface of an EUV light source vessel and a head portion above the frame portion. The head portion is configured to be rotatably moved on a circular trajectory while maintaining a desired (and/or alternatively predetermined) distance from the curved surface of the EUV light source vessel. The head portion may have a heating member configured to emit heat toward the curved surface of the EUV light source vessel. The heating member may have a shape curved in an arc corresponding to a portion of the circular trajectory.
    Type: Grant
    Filed: September 7, 2022
    Date of Patent: November 21, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jongbin Park, Minseok Choi, Inho Choi, Jeonggil Kim
  • Patent number: 11804361
    Abstract: In a charged particle beam writing method according to one embodiment, a deflector is caused to deflect a charged particle beam and a pattern is written by irradiating a substrate with the charged particle beam. The charged particle beam writing method includes calculating a charge amount distribution based on a charge amount of a beam irradiation region on the substrate immediately after irradiation with the charged particle beam and a diffusion coefficient for electric charge of the substrate, calculating a position shift distribution of the charged particle beam on the substrate based on the charge amount distribution, and correcting an irradiation position of the charged particle beam based on the position shift distribution.
    Type: Grant
    Filed: April 28, 2022
    Date of Patent: October 31, 2023
    Assignee: NuFlare Technology, Inc.
    Inventors: Haruyuki Nomura, Noriaki Nakayamada, Munehiro Ogasawara
  • Patent number: 11784025
    Abstract: The present disclosure provides a method of achieving an integral number of sweeps within an ion beam. A substrate having a fiducial is placed on a wafer stage within the ion beam system. An energetic particle beam is generated within the ion beam system. The substrate is exposed to the energetic particle beam while the wafer stage with the substrate is rotated clockwise so that the fiducial of the substrate travels a sweep distance in a clockwise direction at a first speed and the fiducial of the substrate travels the same sweep distance in a counterclockwise direction at a second speed. The exposure of the substrate to the energetic particle beam is discontinued when the number of complete/full sweeps in the clockwise direction equals the number of complete/full sweeps in the counterclockwise direction.
    Type: Grant
    Filed: May 10, 2022
    Date of Patent: October 10, 2023
    Assignee: PLASMA-THERM NES LLC
    Inventors: Sarpangala Hariharakeshava Hegde, Armin Baur, Wei-Hua Hsiao
  • Patent number: 11762304
    Abstract: A lithographic apparatus has: a conduit through which a gas can flow; a gas mover configured to cause the gas to flow in the conduit; a wall in contact with the gas in the conduit and defining a membrane aperture therein; and an acoustic filter including a flexible membrane fixed in the membrane aperture. The acoustic filter reduces transmission of acoustic disturbances without adding any flow resistance.
    Type: Grant
    Filed: March 29, 2016
    Date of Patent: September 19, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Güneş Nak{dot over (i)}boğlu, Maarten Holtrust, Martinus Van Duijnhoven, Francis Fahrni, Frank Johannes Jacobus Van Boxtel, Anne Willemijn Bertine Quist, Bart Dinand Paarhuis, Daan Daniel Johannes Antonius Van Sommeren
  • Patent number: 11747721
    Abstract: Provided are a method of forming a mask, the method accurately and quickly restoring an image on the mask to the shape on the mask, and a mask manufacturing method using the method of forming the mask. The method of forming a mask includes obtaining first images by performing rasterization and image correction on shapes on the mask corresponding to first patterns on a wafer, obtaining second images by applying a transformation to the shapes on the mask, performing deep learning based on a transformation relationship between ones of the first images and ones of the second images corresponding to the first images, and forming a target shape on the mask corresponding to a target pattern on the wafer, based on the deep learning. The mask is manufactured based on the target shape on the mask.
    Type: Grant
    Filed: January 21, 2021
    Date of Patent: September 5, 2023
    Inventors: Useong Kim, Mincheol Kang, Woojoo Sim
  • Patent number: 11676832
    Abstract: The present disclosure relates to systems and methods for fabricating semiconductor packages, and more particularly, for forming features in semiconductor packages by laser ablation. In one embodiment, the laser systems and methods described herein can be utilized to pattern a substrate to be utilized as a package frame for a semiconductor package having one or more interconnections formed therethrough and/or one or more semiconductor dies disposed therein. The laser systems described herein can produce tunable laser beams for forming features in a substrate or other package structure. Specifically, frequency, pulse width, pulse shape, and pulse energy of laser beams are tunable based on desired sizes of patterned features and on the material in which the patterned features are formed. The adjustability of the laser beams enables rapid and accurate formation of features in semiconductor substrates and packages with controlled depth and topography.
    Type: Grant
    Filed: July 24, 2020
    Date of Patent: June 13, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Kurtis Leschkies, Jeffrey L. Franklin, Wei-Sheng Lei, Steven Verhaverbeke, Jean Delmas, Han-Wen Chen, Giback Park
  • Patent number: 11670477
    Abstract: A multi-beam apparatus for multi-beam inspection with an improved source conversion unit providing more beamlets with high electric safety, mechanical availability and mechanical stabilization has been disclosed. The source-conversion unit comprises an image-forming element array having a plurality of image-forming elements, an aberration compensator array having a plurality of micro-compensators, and a pre-bending element array with a plurality of pre-bending micro-deflectors. In each of the arrays, adjacent elements are placed in different layers, and one element may comprise two or more sub-elements placed in different layers. The sub-elements of a micro-compensator may have different functions such as micro-lens and micro-stigmators.
    Type: Grant
    Filed: October 2, 2018
    Date of Patent: June 6, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Xuerang Hu, Xuedong Liu, Weiming Ren, Zhong-wei Chen
  • Patent number: 11664187
    Abstract: An apparatus comprising a beam emitter to emit a beam comprising electrons, ions or laser-light photons toward a target substrate. A motion sensor to detect mechanical vibrations of the target substrate. The motion sensor is mechanically coupled to the target substrate, a processor coupled to an output of the motion sensor. The processor is to generate a vibration correction signal proportional to the mechanical vibrations detected by the motion sensor, and beam steering optics coupled to the processor. The beam steering optics are to deflect the beam according to the vibration correction signal to compensate for the mechanical vibrations of the target substrate.
    Type: Grant
    Filed: June 10, 2021
    Date of Patent: May 30, 2023
    Assignee: Intel Corporation
    Inventors: Amir Raveh, Gideon Reisfeld, Patrick Pardy
  • Patent number: 11551925
    Abstract: A substrate processing method with an improved etch selectivity includes: a first operation for forming a film on a stepped structure having a top surface, a bottom surface, and a side surface connecting the top surface and the bottom surface, wherein a first atmosphere is set to reduce a mean free path of plasma ions and to cause the plasma ions to have no directionality; and a second operation for changing a bonding structure of a portion of the film, wherein a second atmosphere is set to cause the plasma ions to have directionality, wherein the first operation is repeated a plurality of times, the second operation is performed for a predetermined time period, the first operation and the second operation form a group cycle, and the group cycle is repeated by a plurality of times.
    Type: Grant
    Filed: March 24, 2020
    Date of Patent: January 10, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: HeeSung Kang, YoonKi Min, WanGyu Lim, JinGeun Yu, JaeOk Ko, YoungHoon Kim
  • Patent number: 11550233
    Abstract: A method including steps as follows is provided. A primary droplet and a satellite droplet are shot toward an excitation zone. The satellite droplet is deflected away from the excitation zone. A laser beam is emitted toward the excitation zone to excite the primary droplet to generate an extreme ultraviolet (EUV) light. The EUV light is directed onto a reticle using a first optical reflector, such that the EUV light is imparted with a pattern of the reticle. The EUV light with the pattern is directed onto a wafer using a second optical reflector.
    Type: Grant
    Filed: May 13, 2019
    Date of Patent: January 10, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Hung Liao, Min-Cheng Wu
  • Patent number: 11532492
    Abstract: A substrate processing apparatus includes a liquid processing module, including a carry-out/in port of a substrate, in which a first liquid processing device and a second liquid processing device provided at a position farther from the carry-out/in port than the first liquid processing device is are provided; and a transfer device configured to carry the substrate out from and into the liquid processing module. The first liquid processing device performs a first liquid processing on the substrate. The second liquid processing device performs a second liquid processing on the substrate before or after the first liquid processing. The transfer device includes a substrate holder configured to be moved back and forth in a first horizontal direction, and carries the non-processed substrate into the first liquid processing device through the carry-out/in port and carries the processed substrate out from the first liquid processing device through the carry-out/in port.
    Type: Grant
    Filed: March 26, 2020
    Date of Patent: December 20, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Katsuhiro Morikawa, Masami Akimoto
  • Patent number: 11518674
    Abstract: A system and method (referred to as the system) fabricates controllable atomic assemblies in two and three dimensions. The systems identify by a non-invasive imager, a local atomic structure, distribution of vacancies, and dopant atoms and modify, by a microscopic modifier, the local atomic structure, via electron beam irradiation. The systems store, by a knowledge base, cause-and-effect relationships based on a non-invasive imaging and electron scans. The systems detect, by detectors, changes in the local atomic structure induced by the electron irradiation; and fabricate, a modified atomic structure by a beam control software and feedback.
    Type: Grant
    Filed: February 3, 2020
    Date of Patent: December 6, 2022
    Assignee: UT-BATTELLE, LLC
    Inventors: Sergei V. Kalinin, Stephen Jesse, Albina Y. Borisevich, Ondrej E. Dyck, Bobby G. Sumpter, Raymond R. Unocic
  • Patent number: 11476107
    Abstract: The present application relates to semiconductor integrated circuit manufacturing equipment, in particular to a cleaning fluid guide device for wet cleaning equipment, wherein a positive voltage end and a negative voltage end are provided on both ends of a nozzle to apply an electric field to a cleaning fluid sprayed by the nozzle, and the electric field guides the cleaning fluid to form an included angle ? between the cleaning fluid and a wafer backside, such that spraying of the cleaning fluid does not always start from the center of the wafer backside, avoiding the problem that the center of the wafer backside is relatively thin while the edge thereof is relatively thick after a plurality of repeated wet cleaning processes, and thereby improving the yield of semiconductor devices.
    Type: Grant
    Filed: February 2, 2021
    Date of Patent: October 18, 2022
    Assignee: Shanghai Huali Integrated Circuit Corporation
    Inventor: Jiangang Zhou
  • Patent number: 11476120
    Abstract: Systems and methods of sample preparation using dual ion beam trenching are described. In an example, an inside of a semiconductor package is non-destructively imaged to determine a region of interest (ROI). A mask is positioned over the semiconductor package, and a mask window is aligned with the ROI. A first ion beam and a second ion beam are swept, simultaneously or sequentially, along an edge of the mask window to trench the semiconductor package and to expose the ROI for analysis.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: October 18, 2022
    Assignee: Intel Corporation
    Inventors: Purushotham Kaushik Muthur Srinath, Richard Kenneth Brewer, Deepak Goyal
  • Patent number: 11372335
    Abstract: An optical arrangement for EUV lithography, including: at least one component (23) having a main body (32) with at least one surface region (30) which is exposed to activated hydrogen (H+, H*) during operation of the optical arrangement. The main body (32) contains at least one material which forms at least one volatile hydride upon contact of the surface region (30) with the activated hydrogen (H+, H*). At the surface region, noble metal ions (38) are implanted into the main body (32) in order to prevent the formation of the volatile hydride.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: June 28, 2022
    Assignee: CARL ZEISS SMT GMBH
    Inventor: Anastasia Gonchar
  • Patent number: 11360380
    Abstract: The present disclosure relates to an extreme ultraviolet lithography, EUVL, device comprising: a reticle comprising a lithographic pattern to be imaged on a target wafer; a light-transmissive pellicle membrane mounted in front of, and parallel to, the reticle, wherein the pellicle membrane scatters transmitted light along a scattering axis; and an extreme ultraviolet, EUV, illumination system configured to illuminate the reticle through the pellicle membrane, wherein an illumination distribution provided by the EUV illumination system is asymmetric as seen in a source-pupil plane of the EUV illumination system; wherein light reflected by the reticle and then transmitted through the pellicle membrane comprises a non-scattered fraction and a scattered fraction formed by light scattered by the pellicle membrane; the EUVL device further comprising: an imaging system having an acceptance cone configured to capture a portion of the light reflected by the reticle and then transmitted through the pellicle membrane.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: June 14, 2022
    Assignee: IMEC VZW
    Inventors: Joern-Holger Franke, Emily Gallagher
  • Patent number: 11342162
    Abstract: A hot plate of a resist removing apparatus is disposed in a processing space and heated to a predetermined temperature. A substrate has on an upper surface thereof, a pattern of a resist having a surface on which an altered layer is formed. A moving mechanism moves a plurality of lift pins relative to a hot plate. An upper surface of the substrate is supplied with ozone gas. A control part disposes the substrate at a first processing position with a clearance from the hot plate and removes the altered layer by using the ozone gas, and subsequently controls the moving mechanism to dispose the substrate at a second processing position with a clearance smaller than that between the first processing position and the hot plate and removes the resist by using the ozone gas. It is thereby possible to efficiently remove the resist from the substrate while preventing popping.
    Type: Grant
    Filed: June 15, 2018
    Date of Patent: May 24, 2022
    Inventors: Kota Sotoku, Masaki Inaba
  • Patent number: 11334982
    Abstract: The disclosure provides a method for defect classification, including: extracting a low-level feature of a defect region from a defect image; encoding the low-level feature by using a defect dictionary to obtain a middle-level semantic feature corresponding to the low-level feature; classifying a defect in the defect region of the defect image into one of a plurality of defect categories based on the middle-level semantic feature, wherein the defect dictionary includes a defect intra-category dictionary and a defect inter-category dictionary.
    Type: Grant
    Filed: April 27, 2020
    Date of Patent: May 17, 2022
    Assignees: Beijing BOE Optoelectronics Technology Co., Ltd., BOE Technology Group Co., Ltd.
    Inventors: Xiangjun Peng, Yunqi Wang, Chenxi Zhao, Yachong Xue, Gang Li, Yaoyu Lv, Shuo Zhang, Minglei Chu, Lili Chen, Hao Zhang
  • Patent number: 11320476
    Abstract: An eddy current system and methods of performing operations on a structure using the eddy current system are presented. The eddy current system comprises an ion beam source and a magnetic field source with at least one of variable output intensity or variable output orientation.
    Type: Grant
    Filed: July 15, 2019
    Date of Patent: May 3, 2022
    Assignee: The Boeing Company
    Inventor: Brian Jay Tillotson
  • Patent number: 11322342
    Abstract: Provided is a mass separator (100) for performing mass separation for an ion beam (IB). The mass separator (100) includes a transfer structure (30) that is a component of a yoke (13) and move at least one of an upper yoke (13a) positioned over the beam path (L), a lower yoke (13b) positioned under the beam path (L), and a side yoke (13c, 13d) positioned at a side of the beam path (L) between a normal position (P) in the traveling of the ion beam (IB) and a retracted position (Q) that does not overlap with at least a part of the normal position (P); the yoke (13) is surrounding the beam path (L) and is made of a magnetic body.
    Type: Grant
    Filed: March 11, 2020
    Date of Patent: May 3, 2022
    Assignee: NISSIN ION EQUIPMENT CO., LTD.
    Inventors: Daiki Takashima, Ippei Nishimura
  • Patent number: 11302511
    Abstract: Multi-beam e-beam columns and inspection systems that use such multi-beam e-beam columns are disclosed. A multi-beam e-beam column configured in accordance with the present disclosure may include an electron source and a multi-lens array configured to produce a plurality of beamlets utilizing electrons provided by the electron source. The multi-lens array may be further configured to shift a focus of at least one particular beamlet of the plurality of beamlets such that the focus of the at least one particular beamlet is different from a focus of at least one other beamlet of the plurality of beamlets.
    Type: Grant
    Filed: June 3, 2016
    Date of Patent: April 12, 2022
    Assignee: KLA Corporation
    Inventors: Alan Brodie, Rainer Knippelmeyer, Christopher Sears, John Rouse, Grace Hsiu-Ling Chen
  • Patent number: 11264205
    Abstract: A method, including using an implant recipe to perform an implant by scanning an ion beam along a first axis over a substrate, coated with a photoresist layer, while the substrate is scanned along a perpendicular axis; measuring an implant current (I) during the implant, using a first detector, positioned to a side of a substrate position; determining a value of a difference ratio (I?B)/(B), based upon the implant current, where B is current measured by the first detector, during a calibration at base pressure; determining a plurality of values of a current ratio (CR) for the plurality of instances, based upon the difference ratio, the current ratio being a ratio of the implant current to a current measured by a second detector, positioned over the substrate position, during the calibration; and adjusting scanning the ion beam, scanning of the substrate, or a combination thereof, based upon the current ratio.
    Type: Grant
    Filed: November 9, 2020
    Date of Patent: March 1, 2022
    Assignee: APPLIED Materials, Inc.
    Inventors: Eric Donald Wilson, George Gammel
  • Patent number: 11257657
    Abstract: A method of operating a charged particle beam device is disclosed, including focusing a charged particle beam onto a sample with an objective lens assembly; passing a reflected light beam through a bore of the objective lens assembly to an interferometer; and interferometrically determining a z-position of the sample with the interferometer. A charged particle beam device is disclosed, including a charged particle beam generator which has a charged particle source. A charged particle path for the charged particle beam extends through a bore of an objective lens assembly toward a sample stage. An interferometer is arranged to receive a reflected light beam which passes through the bore of the objective lens assembly.
    Type: Grant
    Filed: February 18, 2020
    Date of Patent: February 22, 2022
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventors: John Breuer, Rony Reuveni, Alexander Goldenstein
  • Patent number: 11257683
    Abstract: Apparatus and methods are disclosed for sample preparation, suitable for online or offline use with multilayer samples. Ion beam technology is leveraged to provide rapid, accurate delayering with etch stops at a succession of target layers. In one aspect, a trench is milled around a region of interest (ROI), and a conductive coating is developed on an inner sidewall. Thereby, reliable conducting paths are formed between intermediate layers within the ROI and a base layer, and stray current paths extending outside the ROI are eliminated, providing better quality etch progress monitoring, during subsequent etching, from body or scattered currents. Ion beam assisted gas etching provides rapid delayering with etch stops at target polysilicon layers. Uniform etching at deep layers can be achieved. Variations and results are disclosed.
    Type: Grant
    Filed: June 17, 2020
    Date of Patent: February 22, 2022
    Assignee: FEI Company
    Inventors: James Clarke, Micah LeDoux, Jason Lee Monfort, Brett Avedisian
  • Patent number: 11252810
    Abstract: A radiation source contains a collector module comprising an optical collector, positioned in a vacuum chamber with an emitting plasma, further comprising a means for debris mitigation which include at least two casings arranged to output debris-free homocentric beams of the short-wavelength radiation, coming to the optical collector preferably consisting of several identical mirrors. Outside each casing there are permanent magnets that create a magnetic field inside the casings to mitigate charged fraction of debris particles and provide the debris-free homocentric beams of short-wavelength radiation. Other debris mitigating techniques are additionally used. Preferably the plasma is laser-produced plasma of a liquid metal target supplied by a rotating target assembly to a focus area of a laser beam. The technical result of the invention is the creation of high-powerful high-brightness debris-free sources of short-wavelength radiation with large, preferably more than 0.25 sr, collection solid angle.
    Type: Grant
    Filed: November 19, 2020
    Date of Patent: February 15, 2022
    Assignees: Isteq B.V., RnD-ISAN. Ltd
    Inventors: Vladimir Vitalievich Ivanov, Aleksandr Yurievich Vinokhodov, Konstantin Nikolaevich Koshelev, Mikhail Sergeyevich Krivokorytov, Vladimir Mikhailovich Krivtsun, Aleksandr Andreevich Lash, Vyacheslav Valerievich Medvedev, Yury Viktorovich Sidelnikov, Oleg Feliksovich Yakushev, Oleg Borisovich Khristoforov, Denis Aleksandrovich Glushkov, Samir Ellwi
  • Patent number: 11246209
    Abstract: A radiation treatment apparatus includes an accelerator that emits a charged particle beam, a time measurement unit that measures an emission time of the charged particle beam of the accelerator, a first control unit that controls the accelerator based on the emission time measured by the time measurement unit, and an emission determination unit that determines whether or not the accelerator is emitting the charged particle beam while the first control unit is controlling the accelerator. The time measurement unit adds a time, for which a result of a determination performed by the emission determination unit is that the accelerator is emitting the charged particle beam, to the emission time and does not add a time, for which the result of the determination performed by the emission determination unit is that the accelerator is not emitting the charged particle beam, to the emission time.
    Type: Grant
    Filed: September 14, 2020
    Date of Patent: February 8, 2022
    Assignee: SUMITOMO HEAVY INDUSTRIES, LTD.
    Inventor: Kenzo Sasai
  • Patent number: 11243474
    Abstract: This application provides a method for generating an exposure compensation table, a method for photoresist exposure compensation, and an exposure machine. The method for generating an exposure compensation table includes: recording preset exposure parameters and a critical dimension value of a photoresist pattern; and exposing and developing until all preset exposure parameters have been tested.
    Type: Grant
    Filed: October 22, 2018
    Date of Patent: February 8, 2022
    Assignee: HKC CORPORATION LIMITED
    Inventor: Bei Zhou Huang
  • Patent number: 11236404
    Abstract: Sensors measure magnetic field components, and the measured fields are used to calculate and estimated transverse position of a longitudinal electric current flowing as an electric discharge across a discharge gap. Based on the estimated position, and according to a selected transverse trajectory or distribution of the estimated discharge position, magnetic fields are applied transversely across the discharge gap so as to control or alter the estimated discharge position. Inventive apparatus and methods can be employed, inter alia, during operation of a vacuum arc furnace.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: February 1, 2022
    Assignee: KW ASSOCIATES LLC
    Inventors: Matthew A. Cibula, Joshua R. Motley, C. Rigel Woodside, Paul E. King
  • Patent number: 11237483
    Abstract: A lithography method in semiconductor fabrication is provided. The method includes generating multiple groups of small drops of a target material through a number of nozzles in such a way that small drops in each of the groups are aggregated to an elongated droplet of the target material. The method also includes generating a laser pulse from a laser generator to convert the elongated droplets to plasma which generates an EUV radiation. The method further includes exposing a semiconductor wafer to the EUV radiation.
    Type: Grant
    Filed: June 15, 2020
    Date of Patent: February 1, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Hung Liao, Yueh-Lin Yang
  • Patent number: 11239001
    Abstract: A method for generating extreme ultraviolet (EUV) radiation includes introducing a fuel droplet; applying a first laser beam to strike the fuel droplet at a location to generate EUV radiation and form a movable debris of the fuel droplet; and forming an energy field proximal to the location of the first laser beam strike to trap the movable debris. An EUV radiation source includes a fuel droplet generator, a first laser, a collector and an energy field. The fuel droplet generator is configured to provide a fuel droplet. The first laser is configured to generate a first laser beam to strike the fuel droplet at a location to generate EUV radiation and form a movable debris. The collector is configured to reflect the EUV radiation. The energy field is configured to trap the movable debris, wherein the energy field is proximal to the location of the first laser beam strike.
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: February 1, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD
    Inventors: Tzu Han Liu, Chih-Wei Wen, Chung-Hung Lin
  • Patent number: 11229109
    Abstract: The present disclosure provides a system and method for providing electrostatic discharge protection. A probe card assembly is provided which is electrically connected to a plurality of input/output channels. The probe card assembly can be contacted with a secondary assembly having an interposer electrically connected to one or more wafers each wafer having a device under test. Voltage can be forced on ones of the plural input/output channels of the probe card assembly to slowly dissipate charges resident on the wafer to thereby provide electrostatic discharge protection. A socket assembly adaptable to accept a 3DIC package is also provided, the assembly having a loadboard assembly electrically connected to a plurality of input/output channels. Once the 3DIC package is placed within the socket assembly, voltage is forced on ones of the input/output channels to slowly dissipate charges resident on the 3DIC package to thereby provide electrostatic discharge protection.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: January 18, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mill-Jer Wang, Ching-Nen Peng, Hung-Chih Lin, Hao Cheng
  • Patent number: 11204558
    Abstract: An object stage that includes a first structure and a second structure movable relative to the first structure. The second structure is configured to support an object. The object stage also includes a seal plate movably coupled to the first structure or the second structure, but not both. Further, the object stage includes an actuator configured to move the seal plate such that a substantially constant gap is defined between the seal plate and the first structure or second structure that is not coupled to the seal plate.
    Type: Grant
    Filed: July 18, 2018
    Date of Patent: December 21, 2021
    Assignees: ASML Netherlands B.V., ASML Holding N.V.
    Inventors: Yang-Shan Huang, Daniel Nathan Burbank, Marco Koert Stavenga
  • Patent number: 11199363
    Abstract: A method for at least partially removing a contamination layer (24) from an optical surface (14a) of an optical element (14) that reflects EUV radiation includes: performing an atomic layer etching process for at least partially removing the contamination layer (24) from the optical surface (14a), which, in turn, includes: exposing the contamination layer (24) to a surface-modifying reactant (44) in a surface modification step, and exposing the contamination layer (24) to a material-detaching reactant (45) in a material detachment step. The optical element (14) is typically taken, before the atomic layer etching process is performed, from an optical arrangement, in particular from an EUV lithography system, in which the optical surface (14a) of the optical element (14) is exposed to EUV radiation (6), during which the contamination layer (24) is formed.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: December 14, 2021
    Assignee: CARL ZEISS SMT GMBH
    Inventors: Fred Roozeboom, Dirk Heinrich Ehm, Andrea Illiberi, Moritz Becker, Edwin Te Sligte, Yves Lodewijk Maria Creijghton
  • Patent number: 11181818
    Abstract: The present disclosure relates to a lithography scanner including: a light source configured to emit extreme ultra-violet (EUV) light; a pellicle including an EUV transmissive membrane that is configured to scatter the EUV light into an elliptical scattering pattern having a first major axis; a reticle configured to reflect the scattered EUV light through the pellicle; and an imaging system configured to project a portion of the reflected light that enters an acceptance cone of the imaging system onto a target wafer, wherein a cross section of the acceptance cone has a second major axis, and wherein the pellicle is arranged such that the first major axis is oriented at an angle relative to the second major axis.
    Type: Grant
    Filed: July 31, 2019
    Date of Patent: November 23, 2021
    Assignees: IMEC VZW, KATHOLIEKE UNIVERSITEIT LEUVEN, KU LEUVEN R&D
    Inventors: Emily Gallagher, Joern-Holger Franke, Ivan Pollentier, Marina Timmermans, Marina Mariano Juste
  • Patent number: 11183366
    Abstract: A multi-beam writing method includes performing the k-th tracking control (k being a natural number) by beam deflection in order to follow movement of the stage while collecting each beam of multiple beams, performing a plurality of shots of the multiple beams by the each beam simultaneously shifting in a rectangular or square irradiation region, which is surrounded by the size of the beam pitch and corresponding to the each beam, while performing the k-th tracking control, and returning, after the period of the k-th tracking control has passed, the tracking position to a position which is obtained by adding an offset of an integer multiple of the size of the beam pitch to the tracking starting position of the k-th tracking control where the k-th tracking control started, to be as a starting position of the (k+1)th tracking control.
    Type: Grant
    Filed: June 26, 2020
    Date of Patent: November 23, 2021
    Assignee: NuFlare Technology, Inc.
    Inventor: Hiroshi Matsumoto
  • Patent number: 11155461
    Abstract: Systems, methods, and tools for the synthesis of atomically-precise products via mechanosynthesis are disclosed, including a set of atomically-precise tips and associated reactions, methods for determining build sequences for workpieces, exemplary build sequences, and methods for creating new reactions, build sequences, and tips.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: October 26, 2021
    Assignee: CBN Nano Technologies Inc.
    Inventors: Robert A. Freitas, Jr., Ralph C. Merkle
  • Patent number: 11152251
    Abstract: A method for manufacturing a semiconductor device includes forming a source region, a drain region, and a gate dielectric layer and a gate electrode covering a channel region between the source region and the drain region, forming an insulating layer over the source region, the drain region, and the gate electrode, forming first to third vias penetrating the insulating layer and exposing portions of the source region, the drain region, and the gate electrode, respectively, forming a source contact in the first via to electrically connect to the source region, forming a drain contact in the second via to electrically connect to the drain region, and forming a gate contact in the third via to electrically connect to the gate electrode. One or more of the first to third vias is formed by ion bombarding by a focused ion beam and followed by a thermal annealing process.
    Type: Grant
    Filed: April 27, 2018
    Date of Patent: October 19, 2021
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., NATIONAL TAIWAN UNIVERSITY
    Inventors: Che-Wei Yang, Hao-Hsiung Lin
  • Patent number: 11126092
    Abstract: A method including: determining a value of a characteristic of a patterning process or a product thereof, at a current value of a processing parameter; determining whether a termination criterion is met by the value of the characteristic; if the termination criterion is not met, determining a new value of the processing parameter from the current value of the processing parameter and a prior value of the processing parameter, and setting the current value to the new value and repeating the determining steps; and if the termination criterion is met, providing the current value of the processing parameter as an approximation of a value of the processing parameter at which the characteristic has a target value.
    Type: Grant
    Filed: October 7, 2016
    Date of Patent: September 21, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Lin Lee Cheong, Wenjin Huang, Bruno La Fontaine
  • Patent number: 11120970
    Abstract: The invention provided an ion implantation system. The ion implantation system comprises an ion emitting device and a target plate device; the target plate device comprises a graphite electrode unit and a power supply unit; the graphite electrode unit is mounted on the lower end of a support frame, and the graphite electrode unit is a hollow structure; the graphite electrode unit comprises a graphite electrode and a hollow region I, the graphite electrode is connected to the power supply unit; the area of the hollow region I is smaller than that of the wafer to be processed, and the sum of the area of the graphite electrode and the area of the hollow region I is larger than an implantation area of the ion beam.
    Type: Grant
    Filed: June 30, 2017
    Date of Patent: September 14, 2021
    Assignee: SHANGHAI IC R&D CENTER CO., LTD
    Inventors: Xiaoxu Kang, Shaohai Zeng
  • Patent number: 11120975
    Abstract: An ion-beam etching apparatus includes: a plasma chamber configured to generate plasma from process gas in the plasma chamber; at least one plasma valve coupled to the plasma chamber; an ion-beam source in communication with the plasma chamber, wherein the ion-beam source is configured to extract ions from the plasma and generate ion-beams when a bias is applied to the ion-beam source; an etching chamber in communication with the ion-beam source, and configured to accommodate an object to be etched; at least one etching valve coupled to the etching chamber; and at least one exhausting pump connected to either one or both of the plasma chamber and the etching chamber by the plasma valve and the etching valve, respectively, wherein the at least one exhausting pump is configured to receive and exhaust radicals in either one or both of the plasma chamber and the etching chamber by the plasma valve and the etching valve, respectively.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: September 14, 2021
    Assignee: Research and Business Foundation Sungkyunkwan University
    Inventors: Geun Young Yeom, Jin Woo Park, Doo San Kim, Jong Sik Oh, Da In Sung, You Jin Ji, Won Oh Lee, Mu Kyeom Mun, Kyung Chae Yang, Ki Seok Kim, Ji Soo Oh, Ki Hyun Kim
  • Patent number: 11106144
    Abstract: Method of determining a photodetector contribution to a measurement of apodization of a projection system of an immersion lithography apparatus, the method comprising providing a beam of radiation, illuminating an object with the beam of radiation, using the projection system to project an image of the object through a liquid layer and onto a photodetector, performing a first set of measurements of radiation intensity across a pupil plane of the projection system at a first liquid layer thickness, performing a second set of measurements of radiation intensity across the pupil plane of the projection system at a different liquid layer thickness, determining a set of intensity differences from the first set of measurements and the second set of measurements, comparing the determined set of intensity differences to an expected set of intensity difference, and using the results of the comparison to determine the photodetector contribution to a measurement of apodization.
    Type: Grant
    Filed: June 12, 2018
    Date of Patent: August 31, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Paulus Hubertus Petrus Koller, Johannes Jacobus Matheus Baselmans, Bartolomeus Petrus Rijpers
  • Patent number: 11092170
    Abstract: A stage assembly (10) includes a stage (14), and a fluid actuator assembly (24) that moves the stage (14). The fluid actuator assembly (24) includes a piston housing (32) that defines a piston chamber (34); (ii) a piston (36) that separates the piston chamber (34) into a first chamber (34A) and a second chamber (34B); (iii) a supply valve (38C) that controls the flow of the working fluid (40) into the first chamber (34A); and (iv) an exhaust valve (38D) that controls the flow of the working fluid (40) out of the first chamber (34A). The supply valve (38C) has a supply orifice (250G) having a supply orifice area, and the exhaust valve (38D) has an exhaust orifice (352G) having an exhaust orifice area. Moreover, the supply orifice area is different from the exhaust orifice area. Further multiple valves of different sizes can be used in combination for the supply and exhaust for each chamber (34A), (34B).
    Type: Grant
    Filed: February 12, 2018
    Date of Patent: August 17, 2021
    Inventors: Alex Ka Tim Poon, Yeong-Jun Choi, Pai-Hsueh Yang, Sandy Lee, Gaurav Keswani, Rocky Mai
  • Patent number: 11089670
    Abstract: Some embodiments include a system, comprising: a plurality of accelerator structures, each accelerator structure including an RF input and configured to accelerate a different particle beam; an RF source configured to generate RF power; and an RF network coupled between the RF source and each of the RF inputs of the accelerator structures and configured to split the RF power among the RF inputs of the accelerator structures.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: August 10, 2021
    Assignee: Varex Imaging Corporation
    Inventor: Andrey V. Mishin
  • Patent number: 11084207
    Abstract: A method of making an object on a bottom-up stereolithography apparatus is provided. The apparatus includes a light source (11), a drive assembly (14), and a controller (15) operatively associated with the light source and the drive assembly, with the light source and/or the drive assembly having at least one adjustable parameter that is adjustable by the controller. The method includes installing a removable window cassette (12) on the apparatus in a configuration through which the light source projects, the window cassette comprising an optically transparent member, provided as a window (12a), having a build surface on which an object can be produced, and with the optically transparent member having and at least one variable property therein; and then modifying the at least one adjustable parameter by the controller based on the at least one variable optical property of the window; and then producing the object on the build surface from a light-polymerizable liquid by bottom-up stereolithography.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: August 10, 2021
    Assignee: Carbon, Inc.
    Inventors: Anant Chimmalgi, Jiayao Zhang, James Michael Ian Bennett, Bob E. Feller