Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 11081067
    Abstract: A display substrate of an electronic ink screen and a display device thereof are disclosed. The display substrate of the electronic ink screen includes: a base substrate having a display region and a non-display region; a display structure disposed in the display region of the base substrate; and a photoelectric conversion device disposed in the non-display region of the base substrate, wherein the photoelectric conversion device is connected to a driving circuit of the electronic ink screen and is configured to convert an optical signal of ambient light of the electronic ink screen to an electrical signal so as to supply power to the driving circuit.
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: August 3, 2021
    Assignees: Beijing BOE Optoelectronics Technology Co., Ltd., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Rui Xu, Chao Tian
  • Patent number: 11073765
    Abstract: For increasing reflectivity a reflective optical element for the extreme ultraviolet wavelength range consists of at least two upper units, in which each upper unit (B1-B5) has a plurality of lower units, for example reflective optical elements in the form of mirror arrays. A method for producing the reflective optical element includes: determination of incidence angles and incidence angle bandwidths occurring during operation above the surface of each upper unit (B1-B5); and application of a reflective coating to each upper unit (B1-B5), adapted to the incidence angles and incidence angle bandwidths respectively determined above the surface of each upper unit. This is particularly suitable for producing reflective optical elements embodied as field facet mirrors, particularly in the form of microelectromechanical mirror arrays, for an EUV lithography device.
    Type: Grant
    Filed: August 2, 2018
    Date of Patent: July 27, 2021
    Assignee: CARL ZEISS SMT GMBH
    Inventor: Hartmut Enkisch
  • Patent number: 11054738
    Abstract: A pellicle characterized by having an amount of released aqueous gas of 1×10?3 Pa·L/s or less per pellicle, an amount of released hydrocarbon-based gas of 1×10?5 Pa·L/s or less per pellicle in a range of measured mass number of 45 to 100 amu, and an amount of released hydrocarbon-based gas of 4×10?7 Pa·L/s or less per pellicle in a range of measured mass number of 101 to 200 amu, under vacuum after the pellicle has been left to stand for 10 minutes in an atmosphere of 23° C. and 1×10?3 Pa or less.
    Type: Grant
    Filed: December 2, 2019
    Date of Patent: July 6, 2021
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11049691
    Abstract: A system and method for optimizing a ribbon ion beam in a beam line implantation system is disclosed. The system includes a mass resolving apparatus having a resolving aperture, in which the resolving aperture may be moved in the X and Z directions. Additionally, a controller is able to manipulate the mass analyzer and quadrupole lenses so that the crossover point of desired ions can also be moved in the X and Z directions. By manipulating the crossover point and the resolving aperture, the parameters of the ribbon ion beam may be manipulated to achieve a desired result. Movement of the crossover point in the X direction may affect the mean horizontal angle of the beamlets, while movement of the crossover point in the Z direction may affect the horizontal angular spread and beam current.
    Type: Grant
    Filed: December 21, 2017
    Date of Patent: June 29, 2021
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Bon-Woong Koo, Robert C. Lindberg, Eric D. Hermanson, Frank Sinclair, Antonella Cucchetti, Randy Martin, Michael D. Johnson, Ana Samolov, Svetlana B. Radovanov
  • Patent number: 10983448
    Abstract: An objective lens protection device, objective lens system and lithographic device. The objective lens protection device includes a main structure provided with, oppositely disposed, an air supply unit and extraction unit. The air supply unit is used to output air. The extraction unit extracts air output by the air supply unit to form at least one layer of air curtain between the air supply unit and extraction unit. The objective lens protection device can effectively control the flow rate of wind discharge, controlling wind in a laminar flow state and ensuring uniform flow field of the air curtain, and can effectively block organic matters volatilized from the bottom up, eliminate opportunity for a direct contact of the organic matters with the lens, and prevent objective lens from contamination by the volatilization of the organic matters of photoresist, thus ensuring the imaging quality of the objective lens.
    Type: Grant
    Filed: July 23, 2018
    Date of Patent: April 20, 2021
    Assignee: Shanghai Micro Electronics Equipment (Group) Co., Ltd.
    Inventors: Xianming Li, Baotong Hao
  • Patent number: 10978303
    Abstract: Methods, systems and devices for using charged particle beams (CPBs) to write different die-specific, non-volatile, electronically readable data to different dies on a substrate. CPBs can fully write die-specific data within the chip interconnect structure during the device fabrication process, at high resolution and within a small area, allowing one or multiple usefully-sized values to be securely written to service device functions. CPBs can write die-specific data in areas readable or unreadable through a (or any) communications bus. Die-specific data can be used for, e.g.: encryption keys; communications addresses; manufacturing information (including die identification numbers); random number generator improvements; or single, nested, or compartmentalized security codes. Die-specific data and locations for writing die-specific data can be kept in encrypted form when not being written to the substrate to conditionally or permanently prevent any knowledge of said data and locations.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: April 13, 2021
    Inventors: Michael C. Smayling, David K. Lam
  • Patent number: 10978270
    Abstract: A charged particle beam device, comprising a charged particle source configured to emit a charged particle beam; a movable stage comprising an assembly of aperture arrays having at least a first aperture array and a second aperture array, the movable stage is configured to align the assembly of aperture arrays with the charged particle beam, and at least one aperture array comprises a shielding tube coupled to the movable stage.
    Type: Grant
    Filed: December 19, 2018
    Date of Patent: April 13, 2021
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventors: Dieter Winkler, Thomas Jasinski
  • Patent number: 10969370
    Abstract: An example method of characterizing a semiconductor sample includes measuring an initial value, Vin, of a surface potential at a region of a surface of the semiconductor sample, biasing the semiconductor sample to have a target surface potential value (V0) of 2V or less, and depositing a monitored amount of corona charge (?Q1) on the region of the surface after adjusting the surface potential to the target value. The method also includes measuring a first value, V1, of the surface potential at the region after depositing the corona charge, determining the first change of surface potential (?V1=V1?V0), and determining the first capacitance value C1=?Q1/?V1, and characterizing the semiconductor sample based on V0, V1, ?V1, ?Q1 and C1.
    Type: Grant
    Filed: June 5, 2015
    Date of Patent: April 6, 2021
    Assignee: SEMILAB Semiconductor Physics Laboratory Co., Ltd.
    Inventors: Jacek Lagowski, Marshall Wilson, Alexandre Savtchouk, Carlos Almeida, Csaba Buday
  • Patent number: 10964560
    Abstract: Provided are a substrate chuck and a substrate bonding system including the substrate chuck. The substrate bonding system includes a lower substrate chuck and an upper substrate chuck disposed on the lower substrate chuck. The lower substrate chuck has a non-flat lower substrate contact surface, and the upper substrate chuck has a flat upper substrate contact surface.
    Type: Grant
    Filed: July 6, 2016
    Date of Patent: March 30, 2021
    Inventors: Youngbin Choi, Heebok Kang
  • Patent number: 10937559
    Abstract: A micro device transferring apparatus and a micro device transferring method are provided. The micro device transferring apparatus for moving a micro device fixed on an original substrate to a target substrate includes: a stripper on a side of the original substrate away from the micro device, configured to strip the micro device off the original substrate, and an optical tweezer configured to tweeze the micro device from a side of the original substrate provided with the micro device, wherein an accommodating space for accommodating the micro device and the original substrate is between the stripper and the optical tweezer.
    Type: Grant
    Filed: August 28, 2019
    Date of Patent: March 2, 2021
    Assignee: BOE TECHNOLOGY GROUP CO., LTD
    Inventors: Fei Wang, Huijuan Wang, Wanxian Xu, Xuan Liang, Meili Wang
  • Patent number: 10935636
    Abstract: A laser scanner comprises a distance measuring component for measuring a distance to a measuring point, a frame unit which horizontally rotates, a scanning mirror which scans a distance measuring light by rotating vertically, angle detecting components for detecting a horizontal angle of the frame unit and a vertical angle of the scanning mirror and an arithmetic control component, wherein the arithmetic control component sets a distance between measuring points adjacent in a radial direction as a first distance between measuring points, sets a distance between measuring points adjacent in a circumferential direction as a second distance between measuring points, calculates a first interval of measuring angles which becomes the first distance between measuring points and a second interval of measuring angles which becomes the second distance between measuring points at a measuring point and acquires point cloud data of a plane to be measured based on the first interval of measuring angles and the second inter
    Type: Grant
    Filed: March 27, 2018
    Date of Patent: March 2, 2021
    Assignee: TOPCON Corporation
    Inventor: Ken-ichiro Yoshino
  • Patent number: 10923617
    Abstract: The present invention utilizes epitaxial lift-off in which a sacrificial layer is included in the epitaxial growth between the substrate and a thin film III-V compound solar cell. To provide support for the thin film III-V compound solar cell in absence of the substrate, a backing layer is applied to a surface of the thin film III-V compound solar cell before it is separated from the substrate. To separate the thin film III-V compound solar cell from the substrate, the sacrificial layer is removed as part of the epitaxial lift-off. Once the substrate is separated from the thin film III-V compound solar cell, the substrate may then be reused in the formation of another thin film III-V compound solar cell.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: February 16, 2021
    Assignee: MICROLINK DEVICES, INC.
    Inventors: Noren Pan, Glen Hillier, Duy Phach Vu, Rao Tatavarti, Christopher Youtsey, David McCallum, Genevieve Martin
  • Patent number: 10914944
    Abstract: A system comprises a plurality of laser generators, each generating a coherent beam, the plurality of laser generators arranged such that at least two of the generated coherent beams intersect with each other. The system further comprises an anti-refraction prism. The anti-refraction prism has a plurality of incident surfaces. The anti-refraction prism also has an egress surface facing a photosensitive film layer, with the coherent beams interfering within the anti-refraction prism and exiting at the egress surface to create an interference exposure pattern at an exposure region of the photosensitive film layer. Furthermore, the anti-refraction prism has a refraction index within a threshold range of the refraction index of the photosensitive film layer, and wherein the anti-refraction prism reduces a change in angle of each coherent beam in the photosensitive film layer due to refraction.
    Type: Grant
    Filed: July 24, 2018
    Date of Patent: February 9, 2021
    Assignee: Facebook Technologies, LLC
    Inventors: Matthieu Charles Raoul Leibovici, Matthew E. Colburn
  • Patent number: 10877190
    Abstract: An extreme ultra violet (EUV) radiation source apparatus includes a chamber and the chamber encloses an EUV collector mirror. The EUV collector mirror is configured to collect and direct EUV radiation generated in the chamber and at least three exhaust ports are configured to remove debris from the chamber. In some embodiments, the exhaust ports are symmetrically arranged in a plane perpendicular to an optical axis of the collector mirror. In some embodiments, three exhaust ports are disposed such that an angle between any two adjacent ports is 120 degrees. In some embodiments, four exhaust ports are disposed such that an angle between any two adjacent ports is 90 degrees. In some embodiments, the chamber is configured to maintain a pressure in a range from 0.1 mbar to 10 mbar.
    Type: Grant
    Filed: August 12, 2019
    Date of Patent: December 29, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi Yang, Sheng-Ta Lin, Jen-Yang Chung, Shang-Chieh Chien, Li-Jui Chen, Po-Chung Cheng
  • Patent number: 10871720
    Abstract: In embodiments of the present disclosure, a vibrator is used to generate a vibration wave with a variable frequency that can agitate and facilitate the circulation of the processing fluids, thereby enhancing the uniformity and efficiency of the resulting semiconductor device features, the vibrator may be a piezoelectric vibrator or other similar vibrators. In some embodiments, the vibration of the processing fluids can facilitate the processing fluids in circulating in and out of narrow channels or features, or the vibration of the processing fluids can facilitate the bubbling out of the microbubbles entrapped in the processing liquid or entrapped between the surface of the semiconductor wafer and the processing liquid. In another embodiment, the vibrations generated by the vibrator have vibration waves with a variable frequency to avoid resonance that may damage the semiconductor wafer and the features thereon.
    Type: Grant
    Filed: October 2, 2014
    Date of Patent: December 22, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Astha Sharma, Chia-Hung Lai, Hsin-Kuo Chang, Jaw-Lih Shih, Hong-Hsing Chou
  • Patent number: 10866521
    Abstract: An exposure apparatus includes: a first light source that generates first exposure light, a diaphragm having plurality of openings positioned between the first light source and an exposure photomask, a plurality of first projection optical systems that individually project an optical image realized by the first exposure light transmitted through each of the plurality of openings on an exposure target, a second light source that generates second exposure light, and a correction stepper. The correction stepper irradiates a light amount correction region with the second exposure light so as to limit an irradiation range of the exposure target to be irradiated with the second exposure light transmitted through the exposure photomask, and the light amount correction region is a region extending in a first direction by a width of a multi-opening region in a second direction in a plan view.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: December 15, 2020
    Assignee: TOPPAN PRINTING CO.. LTD.
    Inventors: Akihito Okumura, Yoshinori Motoda, Hiroaki Miyaji
  • Patent number: 10854421
    Abstract: A charged particle beam system includes a charged particle source, an extraction electrode, a suppressor electrode, a first variable voltage supply for biasing the extraction electrode with an extraction voltage and a second variable voltage supply for biasing the suppressor electrode with a suppressor voltage.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: December 1, 2020
    Assignees: Carl Zeiss Microscopy GmbH, Carl Zeiss Microscopy Ltd.
    Inventors: Daniela Donhauser, Christian Mueller, Barry Chamley, Tobias Volkenandt, Dirk Preikszas, Giuseppe Pavia, Heiko Stegmann
  • Patent number: 10854423
    Abstract: A multi-beam particle beam system includes a multi-aperture plate having a multiplicity of apertures. During operation, one particle beam of the plurality of particle beams passes through each of the apertures. A multiplicity of electrodes are insulated from the second multi-aperture plate to influence the particle beam passing through the aperture. A voltage supply system for the electrodes includes: a signal a generator to generate a serial sequence of digital signals; a D/A converter to convert the digital signals into a sequence of voltages between an output of the D/A converter and the multi-aperture plate; and a controllable changeover system, which feeds the sequence of voltages successively to different electrodes.
    Type: Grant
    Filed: February 15, 2019
    Date of Patent: December 1, 2020
    Assignee: Carl Zeiss MultiSEM GmbH
    Inventors: Yanko Sarov, Jan Horn, Ulrich Bihr, Christof Riedesel, Erik Essers
  • Patent number: 10840055
    Abstract: A high-brightness electron beam source is disclosed. The electron beam source may include a broadband illumination source configured to generate broadband illumination. A tunable spectral filter may be configured to filter the broadband illumination to provide filtered illumination having an excitation spectrum. The electron beam source may further include a photocathode configured to emit one or more electron beams in response to the filtered illumination, wherein emission from the photocathode is adjustable based on the excitation spectrum of the filtered illumination from the tunable spectral filter.
    Type: Grant
    Filed: January 16, 2019
    Date of Patent: November 17, 2020
    Assignee: KLA Corporation
    Inventors: Gildardo Delgado, Katerina Ioakeimidi, Frances A. Hill, Rudy F. Garcia, Mike Romero, Zefram Marks, Gary V. Lopez Lopez
  • Patent number: 10832888
    Abstract: There is provided an ion milling apparatus and sample holder permitting one to observe a sample, which has been milled, with an electron microscope without transferring the sample to a different holding member. The ion milling apparatus has an ion source, a sample holder, and a sample stage. The sample holder includes: a holder body having a sample holding portion for holding the sample; and a cover member detachably mounted to the holder body and hermetically sealing the sample held on the sample holding portion. The holder body has a shield plate and a field-correcting plate for correcting electric fields around the sample held on the sample holding portion.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: November 10, 2020
    Assignee: JEOL Ltd.
    Inventors: Shogo Kataoka, Toru Kagawa
  • Patent number: 10824083
    Abstract: A light source for extreme ultraviolet (EUV) radiation is provided. The light source includes a target droplet generator, a laser generator, a measuring device, and a controller. The target droplet generator is configured to provide a plurality of target droplets to a source vessel. The laser generator is configured to provide a plurality of first laser pulses according to a control signal to irradiate the target droplets in the source vessel, so as to generate plasma as the EUV radiation. The measuring device is configured to measure process parameters including temperature of the source vessel, droplet positions of the target droplets, and beam sizes and focal points of the first laser pulses. The controller is configured to provide the control signal according to at least two of the process parameters.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: November 3, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi Yang, Ssu-Yu Chen, Shang-Chieh Chien, Chieh Hsieh, Tzung-Chi Fu, Bo-Tsun Liu, Li-Jui Chen, Po-Chung Cheng
  • Patent number: 10822230
    Abstract: Systems, methods and tools for the synthesis of products via mechanosynthesis are disclosed, including a set of atomically-precise tips and associated reactions, methods for determining build sequences for workpieces, exemplary build sequences, and methods for creating new reactions, build sequences, and tips.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: November 3, 2020
    Assignee: CBN Nano Technologies Inc.
    Inventors: Robert A. Freitas, Jr., Ralph C. Merkle
  • Patent number: 10801992
    Abstract: Ion mobility spectrometers and drift tubes including an inlet configured to receive ions, and an outlet, and an internal portion having a pressure at about atmospheric pressure, wherein the drift tube is configured to separate ions using a convective velocity from a carrier gas that transports the ions from the inlet to the outlet, a second controllable velocity that alters a migration of the ions from the inlet to the outlet, and neither radio frequency (RF) nor an alternating current (AC) center the ions are disclosed. Methods of separating charged particles are also disclosed.
    Type: Grant
    Filed: October 19, 2017
    Date of Patent: October 13, 2020
    Assignee: Indiana University Research and Technology Corporation
    Inventor: Carlos Larriba-Andaluz
  • Patent number: 10796428
    Abstract: Disclosed are an inspection system and an inspection method of performing image processing on an outline of an inspection object according to whether the inspection object is good or defective, and overlapping and displaying the image-processed outline with reference information for determining whether the inspection object is good or defective. The inspection system includes: a data acquisition unit configured acquire an image of an inspection object by irradiate light on the inspection object; a processing unit configured to detect an outline of the inspection object based on the image data of the inspection object; and an output unit configured to overlap and display the outline with reference information, wherein the processing unit is configured to determine whether the outline is good or defective based on the reference information to perform image processing on the outline according to whether the outline is good or defective.
    Type: Grant
    Filed: August 8, 2019
    Date of Patent: October 6, 2020
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Seung Ae Seo, Won Mi Ahn, Hye In Lee, Jong Hui Lee
  • Patent number: 10790115
    Abstract: A multi charged particle beam writing method includes assigning, for each unit irradiation region per beam of multi-beams, each divided shot obtained by dividing a shot of a maximum irradiation time and continuously irradiate the same unit irradiation region, to at least one of a plurality of beams that can be switched by collective deflection; calculating, for each unit irradiation region, an irradiation time; determining, for each unit irradiation region, whether to make each divided shot be beam “on” or “off” so that the total irradiation time for a plurality of corresponding divided shots to be beam “on” may become a combination equivalent to the irradiation time calculated; and applying, to the corresponding unit irradiation region, the plurality of corresponding divided shots to be beam “on”, using the plurality of beams while switching a beam between beams by collective deflection.
    Type: Grant
    Filed: September 12, 2016
    Date of Patent: September 29, 2020
    Assignee: NuFlare Technology, Inc.
    Inventor: Hiroshi Matsumoto
  • Patent number: 10784174
    Abstract: A method for processing a substrate in a processing chamber using at least one time trace based prediction model is provided. A substrate is dry processed, where the dry processing creates at least one gas by-product. A concentration of the at least one gas by-product is measured. A time trace of the concentration of the at least one gas by-product is determined. The determined time trace of the concentration is provided as input for the at least one time trace based prediction model to obtain at least one process output. The at least one process output is used to adjust at least one process parameter.
    Type: Grant
    Filed: October 13, 2017
    Date of Patent: September 22, 2020
    Assignee: Lam Research Corporation
    Inventors: Yassine Kabouzi, Luc Albarede
  • Patent number: 10763096
    Abstract: A method and apparatus are provided. The method includes selectively supplying a neutralizing gas to a position on a trajectory of an ion beam between an extraction electrode system and an analysis slit based on a composition of a dopant gas introduced into an ion source that produces the ion beam. The apparatus includes the ion source, the extraction electrode system, the analysis slit, and a gas supply system that selectively supplies the neutralizing gas to the position on the trajectory.
    Type: Grant
    Filed: March 14, 2019
    Date of Patent: September 1, 2020
    Assignee: NISSIN ION EQUIPMENT CO., LTD.
    Inventor: Yusuke Kuwata
  • Patent number: 10763179
    Abstract: An example semiconductor wafer includes a semiconductor layer, a dielectric layer disposed on the semiconductor layer, and a layer of the metal disposed on the dielectric layer. An example method of determining an effective work function of a metal on the semiconductor wafer includes determining a surface barrier voltage of the semiconductor wafer, and determining a metal effective work function of the semiconductor wafer based, at least in part, on the surface barrier voltage.
    Type: Grant
    Filed: February 26, 2016
    Date of Patent: September 1, 2020
    Assignee: SEMILAB Semiconductor Physics Laboratory Co., Ltd.
    Inventors: Dmitriy Marinskiy, Thye Chong Loy, Jacek Lagowski, Sung-Li Wang, Lin-Jung Wu, Shyh-Shin Ferng, Yi-Hung Lin, Sheng-Shin Lin
  • Patent number: 10754132
    Abstract: An imaging optical system, in particular a projection objective, for microlithography, includes optical elements to guide electromagnetic radiation with a wavelength in a path to image an object field into an image plane. The imaging optical system includes a pupil, having coordinates (p, q), which, together with the image field, having coordinates (x, y) of the optical system, spans an extended 4-dimensional pupil space, having coordinates (x, y, p, q), as a function of which a wavefront W(x, y, p, q) of the radiation passing through the optical system is defined. The wavefront W can therefore be defined in the pupil plane as a function of an extended 4-dimensional pupil space spanned by the image field (x, y) and the pupil (p, q) as W(x, y, p, q)=W(t), with t=(x, y, p, q).
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: August 25, 2020
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Olaf Rogalsky, Sonja Schneider, Boris Bittner, Jens Kugler, Bernhard Gellrich, Rolf Freimann
  • Patent number: 10747938
    Abstract: An integrated circuit (IC) manufacturing method includes receiving an IC design layout having IC regions separate from each other. Each of the IC regions includes an initial IC pattern that is substantially identical among the IC regions. The method further includes identifying a group of IC regions from the IC regions. All IC regions in the group have a substantially same location effect, which is introduced by global locations of the IC regions on the IC design layout. The method further includes performing a correction process to a first IC region in the group, modifying the initial IC pattern in the first IC region into a first corrected IC pattern. The correction process includes using a computer program to correct location effect. The method further includes replacing the initial IC pattern in a second IC region in the group with the first corrected IC pattern.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: August 18, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chun Wang, Ching-Hsu Chang, Chun-Hung Wu, Cheng Kun Tsai, Feng-Ju Chang, Feng-Lung Lin, Ming-Hsuan Wu, Ping-Chieh Wu, Ru-Gun Liu, Wen-Chun Huang, Wen-Hao Liu
  • Patent number: 10739378
    Abstract: The system is configured for performing scanning electrochemical microscopy via non-local continuous line probes. The continuous line probes include an insulating probe substrate, an insulating layer, and a conductive band electrode. The system includes a sample stage for positioning a sample substrate to be imaged so as to enable contact with the insulting probe substrate at an angle ?CLP. The continuous line probe is translated across the sample substrate and changes in the signal generated at the continuous line probe are identified to indicate the presence of features on the sample substrate. A plurality of scans are performed at different angles via rotating the sample stage or the continuous line probe, the results of which are combined and analyzed to produce an image of the sample substrate via compressed sensing reconstruction.
    Type: Grant
    Filed: April 24, 2019
    Date of Patent: August 11, 2020
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: Daniel Vincent Esposito, Glen O'Neil, John Wright, Han-wen Kuo, Anna E. Dorfi
  • Patent number: 10703674
    Abstract: The invention concerns a process for increasing the scratch resistance of a glass substrate by implantation of simple charge and multicharge ions, comprising maintaining the temperature of the area of the glass substrate being treated at a temperature that is less than or equal to the glass transition temperature of the glass substrate, selecting the ions to be implanted among the ions of Ar, He, and N, setting the acceleration voltage for the extraction of the ions at a value comprised between 5 kV and 200 kV and setting the ion dosage at a value comprised between 1014 ions/cm2 and 2.5×1017 ions/cm2.The invention further concerns glass substrates comprising an area treated by implantation of simple charge and multicharge ions according to this process and their use for reducing the probability of scratching on the glass substrate upon mechanical contact.
    Type: Grant
    Filed: October 21, 2015
    Date of Patent: July 7, 2020
    Assignees: AGC GLASS EUROPE, AGC Inc., QUERTECH INGENIERIE
    Inventors: Benjamine Navet, Pierre Boulanger, Lionel Ventelon, Denis Busardo, Frederic Guernalec
  • Patent number: 10670535
    Abstract: Methods and systems for determining parameter(s) of a metrology process to be performed on a specimen are provided. One system includes one or more computer subsystems configured for automatically generating regions of interest (ROIs) to be measured during a metrology process performed for the specimen with the measurement subsystem based on a design for the specimen. The computer subsystem(s) are also configured for automatically determining parameter(s) of measurement(s) performed in first and second subsets of the ROIs during the metrology process with the measurement subsystem based on portions of the design for the specimen located in the first and second subsets of the ROIs, respectively. The parameter(s) of the measurement(s) performed in the first subset are determined separately and independently of the parameter(s) of the measurement(s) performed in the second subset.
    Type: Grant
    Filed: March 7, 2019
    Date of Patent: June 2, 2020
    Assignee: KLA-Tencor Corp.
    Inventors: Brian Duffy, Ajay Gupta, Thanh Huy Ha
  • Patent number: 10672648
    Abstract: A method of forming a semiconductor memory device includes following steps. First of all, a dielectric layer is formed on a semiconductor substrate, and a conductive pad is formed in the dielectric layer. Then, a stacked structure is formed on the dielectric layer, and the stacked structure includes a first layer, a second layer and a third layer stacked one over another on the conductive pad. Next, a patterned mask layer is formed on the stacked structure, and a portion of the stacked structure is removed, to form an opening in the stacked structure, with the opening having a tapered sidewall in the second layer and the first layer. After that, the tapered sidewall of the opening in the second layer is vertically etched, to form a contact opening in the stacked structure. Finally, the patterned mask layer is removed.
    Type: Grant
    Filed: March 27, 2018
    Date of Patent: June 2, 2020
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Feng-Yi Chang, Fu-Che Lee, Yi-Wang Zhan
  • Patent number: 10643869
    Abstract: A semiconductor wafer that has a plane orientation of (100) and is made of monocrystalline silicon is warped along an axis, i.e., a diameter along a <100> direction of the semiconductor wafer when irradiated with a flash of light. The semiconductor wafer is placed on a susceptor while the direction of the semiconductor wafer is adjusted so that the diameter along the <100> direction coincides with an optical axis of an upper radiation thermometer. This adjustment makes a diameter along a direction in which a warp of the semiconductor wafer is smallest during irradiation with a flash of light coincide with the optical axis of the upper radiation thermometer. As a result, the semiconductor wafer is hardly warped along the optical axis direction of the upper radiation thermometer even during irradiation with a flash of light, thus hardly changing the emissivity of the semiconductor wafer, so that it is possible to accurately measure the temperature of an upper surface of the semiconductor wafer.
    Type: Grant
    Filed: January 11, 2018
    Date of Patent: May 5, 2020
    Assignee: SCREEN HOLDINGS CO., LTD.
    Inventors: Takahiro Kitazawa, Kazuhiko Fuse
  • Patent number: 10566242
    Abstract: A plasma doping process provides conformal doping profiles for lightly doped source/drain regions in fins, and reduces the plasma doping induced fin height loss. The plasma doping process overcomes the limitations caused by traditional plasma doping processes in fin structures that feature aggressive aspect ratios and tights pitches. Semiconductor devices with conformal lightly doped S/D regions and reduced fin height loss demonstrate reduced parallel resistance (Rp) and improved transistor performance.
    Type: Grant
    Filed: December 13, 2016
    Date of Patent: February 18, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Ling Chan, Tsan-Chun Wang, Liang-Yin Chen, Huicheng Chang
  • Patent number: 10558124
    Abstract: A method for improving a lithographic process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus, the method including: calculating a discrete pupil profile based on a desired pupil profile; selecting a discrete change to the discrete pupil profile; and applying the selected discrete change to the discrete pupil profile. The methods according to various embodiments disclosed herein may reduce the computational cost of discrete optimization from O(an) to O(n) wherein a is constant and n is the number of knobs that can generate discrete change in the pupil profile.
    Type: Grant
    Filed: January 25, 2019
    Date of Patent: February 11, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Xiaofeng Liu, Rafael C. Howell
  • Patent number: 10543515
    Abstract: The present invention relates to a method for removing a polymeric material from a surface of a nanostructure. The method includes applying, by a scanning probe microscope, an electrical field between a probe tip of the scanning probe microscope and the nanostructure, and simultaneously scanning over the surface of the nanostructure. Thereby, bonds connecting the polymeric material to the surface of the nanostructure are broken. A further step includes cleaning the surface of the nanostructure. A scanning probe microscope for performing such a method and a computer program product for controlling the scanning probe microscope are also disclosed.
    Type: Grant
    Filed: March 20, 2017
    Date of Patent: January 28, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Pio Peter Niraj Nirmalraj
  • Patent number: 10533251
    Abstract: A showerhead module adjustment mechanism is provided which supports a showerhead module in a top plate of a semiconductor substrate processing apparatus, the showerhead module adjustment mechanism being dynamically operable to adjust a planarization of a faceplate of the showerhead module with respect to an upper surface of a substrate pedestal module adjacent the faceplate in the semiconductor substrate processing apparatus.
    Type: Grant
    Filed: December 31, 2015
    Date of Patent: January 14, 2020
    Assignee: Lam Research Corporation
    Inventor: John Wiltse
  • Patent number: 10534278
    Abstract: The present invention provides an exposure apparatus that exposes a substrate via a projection optical system, the apparatus including a supply unit including a first channel to which a first gas containing air is supplied, a second channel to which a second gas higher in oxygen concentration than the first gas is supplied, and a third channel to which a third gas lower in oxygen concentration than the first gas is supplied, and configured to generate a mixture gas by using at least two of the first gas, the second gas, and the third gas, and supply the mixture gas to a space between the substrate and the projection optical system.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: January 14, 2020
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Ryo Sasaki
  • Patent number: 10514610
    Abstract: Disclosed is an apparatus for lithography patterning. The apparatus includes a substrate stage configured to hold a substrate coated with a deposition enhancement layer (DEL), a radiation source for generating a patterned radiation towards a surface of the DEL, and a supply pipe for flowing an organic gas near the surface of the DEL, wherein elements of the organic gas polymerize upon the patterned radiation, thereby forming a resist pattern over the DEL.
    Type: Grant
    Filed: July 9, 2018
    Date of Patent: December 24, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shu-Hao Chang, Kuo-Chang Kau, Kevin Huang, Jeng-Horng Chen
  • Patent number: 10510569
    Abstract: A pattern forming apparatus according to an embodiment includes: a pre-alignment unit that performs pre-alignment for a substrate; a transfer unit that transfers the substrate into the pre-alignment unit; a placing table on which the substrate transferred into the pre-alignment unit is placed; a position detecting unit provided at a position included in the placing table and overlapping with an edge of the substrate, and adapted to detect a position of the edge of the substrate; and a control unit that calculates a positional displacement amount of the substrate from the position of the edge of the substrate detected by the position detecting unit, and controls the placing table on the basis of the positional displacement amount of the substrate to correct the position of the substrate.
    Type: Grant
    Filed: July 19, 2018
    Date of Patent: December 17, 2019
    Assignee: Toshiba Memory Corporation
    Inventor: Sho Kawadahara
  • Patent number: 10507544
    Abstract: A laser processing system includes a first positioning system (1044) for imparting first relative movement of a beam axis along a beam trajectory (1062) with respect to a workpiece (1060), a processor for determining a second relative movement of the beam axis (1061) along a plurality of dither rows, a second positioning system (1042) for imparting the second relative movement, and a laser source (1046) for emitting laser beam pulses. The laser beam pulses of individually selected energies can be directed to individually selected transverse spot locations (5310) one or more times during a primary laser pass to permit three-dimensional patterning. The laser beam pulses can also be directed to the spatially identical, overlapping, or non-overlapping neighboring spot area locations on the workpiece in a temporally nonsequential order.
    Type: Grant
    Filed: February 26, 2016
    Date of Patent: December 17, 2019
    Assignee: ELECTRO SCIENTIFIC INDUSTRIES, INC
    Inventors: Haibin Zhang, Chuan Yang, Mark Alan Unrath, Martin Orrick
  • Patent number: 10506167
    Abstract: In one example embodiment, an information processing apparatus, for an observed image associated with an observation target object (e.g., a section of biological tissue), associates and stores position information and observation magnification information. In this embodiment, the information processing apparatus causes a display device to: (i) display an image associated with the observation target object; (ii) indicate the first positional information of the first observed image; and (iii) indicate the first observation magnification information of the first observed image.
    Type: Grant
    Filed: February 16, 2017
    Date of Patent: December 10, 2019
    Assignee: Sony Corporation
    Inventors: Yoichi Mizutani, Shigeatsu Yoshioka, Yoshihiro Wakita, Masashi Kimoto, Naoki Tagami
  • Patent number: 10495980
    Abstract: A projection optical system for an immersion exposure apparatus which exposes a substrate with an illumination light through the projection optical system and a liquid, the projection optical system includes: a plurality of reflective and refractive optical elements through which the illumination light passes, the plurality of reflective and refractive optical elements having a final lens, through which the illumination light passes, the final lens having a light emitting surface through a part of which the illumination light passes, the part of the light emitting surface being in contact with the liquid, wherein the image is projected in a projection region, a center of the projection region is away from an optical axis of the projection optical system with respect to a first direction perpendicular to the optical axis, and a center of the light emitting surface is away from the optical axis with respect to the first direction.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: December 3, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Catharinus Hubertus Mulkens, Bob Streefkerk
  • Patent number: 10488749
    Abstract: A method for forming a photomask includes the following steps. A substrate is provided, which has a pattern region and a peripheral region surrounding the pattern region. A first etching operation is performed on a first surface of the substrate to remove first portions of the substrate in the pattern region, so as to form recesses in the pattern region of the substrate. A blasting operation is performed on the first surface of the substrate. A BARC layer is formed filling the recesses and over the first surface of the substrate. A second etching operation is performed on a second surface of the substrate opposite to the first surface until portions of the BARC layer in the recesses are exposed. The BARC layer is removed after the second etching operation, so as to form openings in the substrate in the pattern region.
    Type: Grant
    Filed: March 28, 2017
    Date of Patent: November 26, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: You-Hua Chou, Kuo-Sheng Chuang
  • Patent number: 10483082
    Abstract: An evaluation method according to an embodiment is to evaluate a precision of an aperture formed with multiple openings, and includes steps of forming a first evaluation pattern based on evaluation data using multiple electron beams generated by electron beam that has passed through the aperture, dividing the aperture into multiple regions, each of the regions including the multiple openings and defining the multiple divided regions, forming a second evaluation pattern based on evaluation data using the electron beam that has passed through a first divided region among the multiple divided regions, comparing the first evaluation pattern with the second evaluation pattern, and evaluating the precision of the aperture based on the comparison result between the first evaluation pattern and the second evaluation pattern.
    Type: Grant
    Filed: May 14, 2018
    Date of Patent: November 19, 2019
    Assignee: NuFlare Technology, Inc.
    Inventor: Rieko Nishimura
  • Patent number: 10437161
    Abstract: A method and apparatus for adjusting an exposure gap in the manufacture of display panels. The method includes: setting a detection range of a detector based on a thickness of a substrate, wherein a position of a waveform corresponding to the thickness of the substrate is outside the detection range; in case a position of a waveform corresponding to a target exposure gap is outside the detection range, setting an intermediary exposure gap within the detection range; adjusting the exposure gap during detecting the exposure gap by the detector until the exposure gap is equal to the intermediary exposure gap, wherein the exposure gap is a distance between the substrate and a mask plate; and adjusting the exposure gap to the target exposure gap based on a difference between the target exposure gap and the intermediary exposure gap.
    Type: Grant
    Filed: May 30, 2018
    Date of Patent: October 8, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI XINSHENG OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Hui Wang, Zhiqiang Wang, Guanglong Guo, Xing Tang, Wuyi Liao
  • Patent number: 10427439
    Abstract: An electronic device includes a housing having an opening that includes an interior surface with a portion that is only viewable from an external vantage point at a viewing angle that is other than ninety degrees (90°). The electronic device also includes a SIM card tray that is removable from the housing via the opening and the SIM card tray includes an angled surface. Information is encoded in the form of text that is laser etched on the angled surface. The text is characterized as having an aspect ratio that corresponds to the viewing angle.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: October 1, 2019
    Assignee: Apple Inc.
    Inventors: Jennifer L. Hawthorne, Michael J. Northrop, Tristan E. Lee, Marwan Rammah, Matthew D. Hill
  • Patent number: RE47922
    Abstract: A charged particle beam writing apparatus includes a division/distribution processing unit to divide and distribute processed data into data groups each having an approximately equal data amount respectively, transmitting units to transmit the processed data of the groups such that processed data is transmitted in descending order with respect to order of writing processing for each data group and the groups are transmitted in parallel, memories to store the processed data of the groups such that each of the memories stores processed data of each different one of the groups, a writing order data output unit to output them, regardless of data group and in order of writing processing, and a writing unit to write a pattern on a target workpiece with a charged particle beam, based on the processed data output in the order of writing processing.
    Type: Grant
    Filed: July 14, 2016
    Date of Patent: March 31, 2020
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideo Inoue