Variable Beam Patents (Class 250/492.23)
  • Patent number: 8698109
    Abstract: A computer readable storage medium containing program instructions for treating a photoresist relief feature on a substrate having an initial line roughness and an initial critical dimension, that, when executed cause a system to: direct ions toward the photoresist relief feature in a first exposure at a first angular range and at a first ion dose rate configured to reduce the initial line roughness to a second line roughness; and direct ions toward the photoresist relief feature in a second exposure at a second ion dose rate greater than the first ion dose rate, the second ion dose rate being configured to swell the photoresist relief feature.
    Type: Grant
    Filed: January 14, 2013
    Date of Patent: April 15, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Christopher J. Leavitt, Joseph C. Olson, Patrick M. Martin
  • Patent number: 8669539
    Abstract: A variable aperture within an aperture device is used to shape the ion beam before the substrate is implanted by shaped ion beam, especially to finally shape the ion beam in a position right in front of the substrate. Hence, different portions of a substrate, or different substrates, can be implanted respectively by different shaped ion beams without going through using multiple fixed apertures or retuning the ion beam each time. In other words, different implantations may be achieved respectively by customized ion beams without high cost (use multiple fixed aperture devices) and complex operation (retuning the ion beam each time). Moreover, the beam tune process for acquiring a specific ion beam to be implanted may be accelerated, to be faster than using multiple fixed aperture(s) and/or retuning the ion beam each time, because the adjustment of the variable aperture may be achieved simply by mechanical operation.
    Type: Grant
    Filed: March 29, 2010
    Date of Patent: March 11, 2014
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventors: Zhimin Wan, John D. Pollock, Don Berrian, Causon Ko-Chuan Jen
  • Patent number: 8669537
    Abstract: A charged particle beam writing apparatus and a charged particle beam writing method capable of shortening the time necessary to generate shot data and improving writing throughput. A graphic pattern defined in write data is divided into graphics represented in shot units. The divided graphics are temporarily stored in a memory and are distributed to their corresponding subfield areas while developing position information defined in a state of being compressed to write data. When each pattern is written by multi-pass writing, graphics divided at a first pass are used for distribution to subfield areas after a second pass.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: March 11, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Jun Yashima
  • Patent number: 8664594
    Abstract: The present disclosure provides an electron beam column with substantially improved resolution and/or throughput for inspecting manufactured substrates. The electron beam column comprises an electron gun, a scanner, an objective lens, and a detector. In accordance with one embodiment, the electron gun includes a gun lens having a flip-up pole piece configuration. In accordance with another embodiment, the scanner comprises a dual scanner having a pre-scanner and a main scanner, and the detector may be configured between the electron gun and the pre-scanner. In accordance with another embodiment, the electron beam column includes a continuously-variable aperture configured to select a beam current. Other embodiments relate to methods of using an electron beam column for automated inspection of manufactured substrates. In one embodiment, for example, an aperture size is adjusted to achieve a minimum spot size given a selected beam current and a column-condition domain being used.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: March 4, 2014
    Assignee: KLA-Tencor Corporation
    Inventors: Xinrong Jiang, Liqun Han, Mohammed Tahmassebpur, Salam Harb, John D. Greene
  • Patent number: 8658994
    Abstract: A chamber for exposing a workpiece to charged particles includes a charged particle source for generating a stream of charged particles, a collimator configured to collimate and direct the stream of charged particles from the charged particle source along an axis, a beam digitizer downstream of the collimator configured to create a digital beam including groups of at least one charged particle by adjusting longitudinal spacing between the charged particles along the axis, a deflector downstream of the beam digitizer including a series of deflection stages disposed longitudinally along the axis to deflect the digital beams, and a workpiece stage downstream of the deflector configured to hold the workpiece.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: February 25, 2014
    Assignee: Nexgen Semi Holding, Inc.
    Inventors: Michael John Zani, Mark Joseph Bennahmias, Jeffrey Winfield Scott
  • Patent number: 8653487
    Abstract: A lithography apparatus includes a generating unit configured, by receiving character information which specifies a shape of an identification figure representing identification information of a target object, to generate pattern writing data of the identification figure on the basis of the character information; a synthesizing unit configured, by receiving a pattern writing data of a pattern written on the target object, to synthesize the pattern writing data of the pattern and the pattern writing data of the identification figure; and a pattern writing unit configured to write the pattern and the identification figure on the target object on the basis of the synthesized pattern writing data.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: February 18, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Hitoshi Sunaoshi
  • Patent number: 8637835
    Abstract: A drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams includes: a blanking deflector located in a vacuum chamber and configured to blank each of the plurality of charged particle beams; a device located in an external chamber in which a gas pressure is higher than a gas pressure in the vacuum chamber, and configured to control the blanking deflector; and a first substrate facing the blanking deflector. The first substrate constitutes a partition which separates the vacuum chamber and the external chamber in a region, of the first substrate, facing the blanking deflector, and includes an electrode which fills a via formed in the region. The device is electrically connected to the blanking deflector via the electrode.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: January 28, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yoshihiro Hirata
  • Patent number: 8624205
    Abstract: A charged particle beam writing apparatus includes an aperture array configured to be capable of forming a plurality of charged particle beams using a plurality of openings, an element array including a plurality of main elements and a plurality of auxiliary elements different from the main elements, and a control unit configured to acquire information associated with a defect of the plurality of main elements and control the element array in accordance with the information, wherein the control unit controls the element array such that only the main elements are used when there is no defect, while when there is a main element having a defect, an auxiliary element is used without using the main element having the defect.
    Type: Grant
    Filed: January 6, 2010
    Date of Patent: January 7, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Isamu Seto, Yoshio Suzaki, Masamichi Kuwabara
  • Patent number: 8618497
    Abstract: The present invention provides a drawing apparatus including a plurality of drawing units each of which is configured to perform drawing on a substrate with a charged particle beam, a plurality of first processors configured to be selectively connectable to each of the plurality of drawing units, an information processor configured to determine, from the plurality of first processors, a first processor to be connected to a first drawing unit among the plurality of drawing units, based on drawing data, and a connection unit configured to connect the determined first processor to the first drawing unit.
    Type: Grant
    Filed: January 4, 2013
    Date of Patent: December 31, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinji Ohishi, Tomoyuki Morita
  • Patent number: 8610082
    Abstract: A drawing apparatus performs drawing on a substrate with charged particle beams. The drawing apparatus includes an irradiation optical system including a collimator lens; an aperture array configured to split the charged particle beam into a plurality of charged particle beams; a converging lens array configured to form a plurality of crossovers of the plurality of charged particle beams; and a projection optical system including an element in which a plurality of apertures corresponding to the plurality of crossovers are formed, and a plurality of projection lenses corresponding to the apertures. The converging lens array includes converging lenses disposed such that each of the plurality of crossovers, which are formed by the converging lenses from the charged particle beam incident on the aperture array at incidence angles associated with aberration of the irradiation optical system, is aligned with corresponding one of the apertures in the element.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: December 17, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kentaro Sano, Masato Muraki
  • Patent number: 8610096
    Abstract: A charged-particle beam writing apparatus used for writing a predetermined pattern on a sample placed on a stage with a charged-particle beam. The apparatus comprises a height measuring unit that measures a height of the sample by irradiating the sample with light and receiving light reflected from the sample, and a control unit that receives either of height data acquired from a height data map prepared based on values measured by the height measuring unit before writing and height data measured by the height measuring unit during writing, thereby adjust an irradiation position of the charged-particle beam on the sample.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: December 17, 2013
    Assignee: NuFlare Technology, Inc.
    Inventor: Takanao Touya
  • Patent number: 8598545
    Abstract: The invention relates to a multiple beam charged particle optical system, comprising an electrostatic lens structure with at least one electrode, provided with apertures, wherein the effective size of a lens field effected by said electrode at a said aperture is made ultimately small. The system may comprise a diverging charged particle beam part, in which the lens structure is included. The physical dimension of the lens is made ultimately small, in particular smaller than one mm, more in particular less than a few tens of microns. In further elaboration, a lens is combined with a current limiting aperture, aligned such relative to a lens of said structure, that a virtual aperture effected by said current limiting aperture in said lens is situated in an optimum position with respect to minimizing aberrations total.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: December 3, 2013
    Assignee: Mapper Lithography IP B.V
    Inventors: Pieter Kruit, Yanxia Zhang, Martijn J. Van Bruggen, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8592713
    Abstract: An irradiating apparatus for irradiating an irradiation object with beam light emitted from a semiconductor laser, wherein letting w be a radius of a beam for irradiating the irradiation object, ? be a rate of individual difference in angle of divergence of the semiconductor laser, and ? be beam wavelength of the semiconductor laser, a focal position of an irradiating optical system interposed between the semiconductor laser and the irradiation object is defocused such that a distance z between the focal position and the irradiation object is z = ? · w 2 ? · 1 - ? 2 ( 1 - ? 2 ) 2 + 1 .
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: November 26, 2013
    Assignee: Sony Corporation
    Inventor: Koichi Tsukihara
  • Publication number: 20130306884
    Abstract: A method and system for fracturing or mask data preparation or proximity effect correction is disclosed in which a series of charged particle beam shots is determined, where the series of shots is capable of forming a continuous non-manhattan track on a surface, such that the non-manhattan track has a line width roughness (LWR) which nearly equals a target LWR. A method and system for fracturing or mask data preparation or proximity effect correction is also disclosed in which at least two series of shots are determined, where each series of shots is capable of forming a continuous non-manhattan track on a surface, and where the space between tracks has space width roughness (SWR) which nearly equals a target SWR.
    Type: Application
    Filed: July 23, 2013
    Publication date: November 21, 2013
    Applicant: D2S, INC.
    Inventors: Akira Fujimura, Ingo Bork, Etienne Jacques
  • Patent number: 8586460
    Abstract: Methods of enabling the use of high wavelength lasers to create shallow melt junctions are disclosed. In some embodiments, the substrate may be preamorphized to change its absorption characteristics prior to the implantation of a dopant. In other embodiments, a single implant may serve to amorphize the substrate and provide dopant. Once the substrate is sufficiently amorphized, a laser melt anneal may be performed. Due to the changes in the absorption characteristics of the substrate, longer wavelength lasers may be used for the anneal, thereby reducing cost.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: November 19, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Deepak Ramappa
  • Patent number: 8581217
    Abstract: A method capable of monitoring ion implantation. First, an ion beam and a workpiece are provided. Next, implant the workpiece by the ion beam and generate a profile having numerous signals relevant to respectively numerous relative positions between the ion beam and the workpiece, wherein the profile has at least a higher portion, a gradual portion and a lower portion. Therefore, by directly analyzing the profile without referring to a pre-determined profile and without using a profiler measuring the ion beam, some ion beam information may be acquired, such as beam height, beam width, ion beam current distribution on the ion beam cross-section, and so on, and the ion implantation may be monitored real-timely. Furthermore, when numerous workpieces are implanted in sequence, the profile(s) of one or more initially implanted workpiece(s) may be to generate a reference for calibrating the ion implantation of the following workpieces.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: November 12, 2013
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventors: Don Berrian, Cheng-Hui Shen
  • Patent number: 8563951
    Abstract: Exposure systems include a beam generator, which is configured to irradiate source beams in a direction of an object to be exposed by the source beams, along with first and second beam shapers. The first beam shaper, which is disposed proximate the beam generator, has a first aperture therein positioned to pass through the source beams received from the beam generator. The second beam shaper is disposed proximate the first beam shaper. The second beam shaper includes a plate having a second aperture therein, which is positioned to receive the source beams that are passed through the first aperture of the first beam shaper. The second beam shaper further includes a first actuator and a first shift screen mechanically coupled to the first actuator.
    Type: Grant
    Filed: March 14, 2012
    Date of Patent: October 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Jin-Ha Jeong, Vladimir Urazaev, Hea-Yun Lee
  • Patent number: 8563953
    Abstract: A charged particle beam writing apparatus includes a unit calculating a total charge amount of charged particle beams irradiating each minimum deflection region in deflection regions having different deflection sizes respectively deflected by deflectors of a plurality of levels for deflecting charged particle beams, a unit calculating a representative temperature of the each minimum deflection region based on heat transfer from other minimum deflection regions having been written before the each minimum deflection region is written, a unit inputting a first dose of a shot of each charged particle beam irradiating the each minimum deflection region, and modulating the first dose by using the representative temperature of the each minimum deflection region, and a unit including the deflectors of a plurality of levels and writing a pattern in the each minimum deflection region with a second dose, which has been modulated, by using the deflectors of a plurality of levels.
    Type: Grant
    Filed: September 18, 2011
    Date of Patent: October 22, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Noriaki Nakayamada, Makoto Hiramoto, Jun Yashima
  • Patent number: 8563893
    Abstract: A laser processing apparatus comprises a converging lens 31 for converging processing laser light and rangefinding laser light L2 toward a wafer 1, an actuator for actuating the lens 31, a shaping optical system 49 for adding astigmatism to reflected light L3 of the rangefinding laser light, a quadrant photodiode 42 for receiving the reflected light L3 and outputting voltage values corresponding to its light quantities, and a controller for regulating the actuator, and positions a converging point P2 of the rangefinding laser light L2 between a focal point P0 of the lens and the lens 31, so as to make it possible to form a modified region at a position deeper from the front face 3, thereby suppressing adverse effects due to the reflected light L3. The control is based on an arithmetic value subjected to a division by a sum of the voltage values, so as to prevent the arithmetic value from being changed by the quantity of reflected light.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: October 22, 2013
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Koji Kuno, Kenichi Muramatsu, Kazuhiro Atsumi, Tetsuya Osajima
  • Patent number: 8525075
    Abstract: The present invention is to provide a laser irradiation apparatus for forming a laser beam which has a shape required for the annealing and which has homogeneous energy distribution, by providing a slit at an image-formation position of a diffractive optical element, wherein the slit has a slit opening whose length is changeable. The laser irradiation apparatus comprises a laser oscillator, a diffractive optical element, and a slit, wherein the slit has a slit opening whose length in a major-axis direction thereof is changeable, wherein a laser beam is delivered obliquely to a substrate, and wherein the laser beam is a continuous wave solid-state, gas, or metal laser, or a pulsed laser with a repetition frequency of 10 MHz or more.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: September 3, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 8525135
    Abstract: A system and method for improved electron beam writing that is capable of taking design intent, equipment capability and design requirements into consideration. The system and method determines an optimal writing pattern based, at least in part, on the received information.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: September 3, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dmitri Lanpanik, Shohei Matsushita, Takashi Mitsuhashi, Zhigang Wu
  • Patent number: 8519364
    Abstract: A positioning system for precise stage is provided. It includes a designed pattern on a stage; an electron beam column generating a focused electron beam to scan the designed pattern and produce electron signal; an electron detection unit to detect the electronic signal; and a control unit converting the electron signal to a clock signal to determine the relative position of the electron beam column and the designed pattern, so as to adjust the displacement of the stage. A nanometer scale positioning method for a precise stage is provided, which can resolve the problem of mechanical drift of the stage when the stage is multi-axis positioning or rotating.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: August 27, 2013
    Assignee: National Synchrontron Radiation Research Center
    Inventors: Gung-Chian Yin, Te-Hui Lee
  • Patent number: 8502176
    Abstract: A charged particle multi-beamlet system for exposing a target (11) using a plurality of beamlets. The system comprises a charged particle source (1) for generating a charged particle beam (20), a beamlet aperture array (4D) for defining groups of beamlets (23) from the generated beam, a beamlet blanker array (6) comprising an array of blankers for controllably blanking the beamlets (23), a beam stop array (8) for blanking beamlets (23) deflected by the blankers, the beam stop array (8) comprising an array of apertures, each beam stop aperture corresponding to one or more of the blankers, and an array of projection lens systems (10) for projecting beamlets on to the surface of the target. The system images the source (1) onto a plane at the beam stop array (8), at the effective lens plane of the projection lens systems (10), or between the beam stop array (8) and the effective lens plane of the projection lens systems (10), and the system images the beamlet aperture array (4D) onto the target (11).
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: August 6, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan-Jaco Wieland, Alexander Hendrik Vincent Van Veen
  • Patent number: 8487281
    Abstract: In a multi-column electron beam exposure apparatus for performing exposure treatment in parallel by arranging a plurality of column cells on a wafer, a relationship between exposure intensity and a line width for each column cell is obtained (Steps S41 and S44). Then, correction parameters are obtained, which allow a relationship between exposure intensity and a line width for a correction target column cell to coincide with a relationship between exposure intensity and a line width for a reference column cell selected from among the plurality of column cells (Steps S43 and S46). Thereafter, exposure time of each column cell is obtained by correcting the exposure time of the reference column cell based on the correction parameters thus obtained.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: July 16, 2013
    Assignee: Advantest Corp.
    Inventors: Masaki Kurokawa, Akio Yamada, Tatsuro Okawa
  • Patent number: 8481960
    Abstract: A system and method are disclosed for controlling an ion beam. A deceleration lens is disclosed for use in an ion implanter. The lens may include a suppression electrode, first and second focus electrodes, and first and second shields. The shields may be positioned between upper and lower portions of the suppression electrode. The first and second shields are positioned between the first focus electrode and an end station of the ion implanter. Thus positioned, the first and second shields protect support surfaces of said first and second focus electrodes from deposition of back-streaming particles generated from said ion beam. In some embodiments, the first and second focus electrodes may be adjustable to enable the electrode surfaces to be adjusted with respect to a direction of the ion beam. By adjusting the angle of the focus electrodes, parallelism of the ion beam can be controlled. Other embodiments are described and claimed.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: July 9, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Svetlana Radovanov, Jason Schaller, Richard White, Kevin Verrier, James Blanchette, Bon-Woong Koo, Eric Hermanson, Kevin Daniels
  • Patent number: 8466430
    Abstract: An electrostatic lens includes multiple electrodes each having a through hole, and an insulating spacer that is provided between the electrodes and that fixes an interval between the electrodes. Both surfaces of the spacer are bonded with the electrodes opposing each other so that the spacer is integral with both the electrodes. A protective film is disposed on both surfaces of each of the electrodes. The protective film is present on the interior wall of the through hole and in a region around the through hole on the surface of the electrode. The region extends continuously from the interior wall to an end portion of the electrode. The protective film is not present at an interface between the electrode and the spacer.
    Type: Grant
    Filed: October 2, 2012
    Date of Patent: June 18, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazuhiro Sando
  • Patent number: 8445872
    Abstract: Systems and methods are provided to perform efficient, automatic adjustment of cyclotron beam currents within a wide range for multiple treatment layers within the same patient and treatment session. In one embodiment, efficient adjustment is achieved by using beam current attenuation by an electrostatic vertical deflector installed in the inner center of the cyclotron. The beam current may, for example, be adjusted by the high voltage applied to the electrostatic vertical deflector. In front of each treatment the attenuation curve of the vertical deflector is recorded. Based on this attenuation curve, the vertical deflector voltage for the needed beam current of each irradiation layer is interpolated. With this procedure the beam current could be automatically adjusted in minimal time over a wide range while maintaining a high level of precision.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: May 21, 2013
    Assignee: Varian Medical Systems Particle Therapy GmbH
    Inventors: Uwe Behrens, Thomas Stephani
  • Patent number: 8440987
    Abstract: Systems and methods are provided to perform efficient, automatic cyclotron initialization, calibration, and beam adjustment. A process is provided that allows the automation of the initialization of a cyclotron after overnight or maintenance imposed shutdown. In one embodiment, five independent cyclotron system states are defined and the transition between one state to another may be automated, e.g., by the control system of the cyclotron. According to these embodiments, it is thereby possible to achieve beam operation after shutdown with minimal manual input. By applying an automatic procedure, all active devices of the cyclotron (e.g., RF system, extraction deflectors, ion source) are respectively ramped to predefined parameters.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: May 14, 2013
    Assignee: Varian Medical Systems Particle Therapy GmbH
    Inventors: Thomas Stephani, Uwe Behrens, Heinrich Roecken, Jan Timmer, Christian Baumgarten
  • Patent number: 8431913
    Abstract: In a method for processing a surface of an object by radiating a plurality of charged particle beams to the surface of the object while moving the charged particle beams relative to the surface of the object, steps includes radiating a first charged particle beam and a second charged particle beam simultaneously to the surface of an object, and controlling a relative speed of the movement of the first charged particle beam to the surface of the object to correct the shape of the surface of the object with the first charged particle beam. In addition, the second charged particle beam is controlled according to a variation in the relative speed of the movement of the first charged particle beam so as to make a number of particles of the second charged particle beam that reach a unit area of the surface of the object constant, thereby smoothing the surface of the object.
    Type: Grant
    Filed: February 16, 2010
    Date of Patent: April 30, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Katsuhiro Funabashi
  • Patent number: 8426832
    Abstract: The present invention increases the number of characters available on a stencil for charged particle beam lithography. A stencil for charged particle beam lithography is disclosed, comprising two character projection (CP) characters, wherein the blanking areas for the two CP characters overlap. A stencil is also disclosed comprising two CP characters with one or more optional characters between the two characters, wherein the optional characters can form meaningful patterns on a surface only in combination with one of the two characters. A stencil is also disclosed wherein the blanking area of a CP character extends beyond the boundary of the stencil's available character area. Methods for design of the aforementioned stencils are also disclosed.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: April 23, 2013
    Assignee: D2S, Inc.
    Inventors: Kenji Yoshida, Takashi Mitsuhashi, Shohei Matsushita, Larry Lam Chau, Tam Dinh Thanh Nguyen, Donald MacMillen, Akira Fujimura
  • Patent number: 8405045
    Abstract: A particle beam device includes a particle beam generator, an objective lens, and first and second deflection systems for deflecting the particle beam in an object plane defined by the objective lens. In a first operating mode, the first deflection system generates a first deflection field and the second deflection system generates a second deflection field. In a second operating mode, the first deflection system generates a third deflection field and the second deflection system generates a fourth deflection field.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: March 26, 2013
    Assignees: Carl Zeiss NTS GmbH, Carl Zeiss NTS Ltd.
    Inventors: Dirk Preikszas, Armin Heinz Hayn
  • Patent number: 8389962
    Abstract: A system and method for noise compensation of a charged particle beam location includes one or more sensors that are spaced apart from each other for sensing magnetic noises within at least one predefined frequency band thereby to provide magnetic noise measurements with synchronous detection of the location of a charged particle beam. Based on the magnetic noise measurements and on relationships between values of the magnetic noises and particle beam location errors, magnetic noise compensations signals are generated. An object is then scanned by a particle beam in response to a desired particle beam scan pattern and the magnetic noise compensation signals.
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: March 5, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Konstantine Chirko, Alon Litman, Yoav Nachum
  • Patent number: 8373144
    Abstract: One embodiment relates to an electron-beam apparatus for writing a pattern on a target substrate. The apparatus includes a plurality of arrays of actively-controlled pixel elements at a surface of a reflective electron patterning device. The plurality of arrays of actively-controlled pixel elements are arranged so that there is an area without any actively-controlled pixel elements in a region surrounding an optical axis of the objective lens. The plurality of arrays may be arranged to each lie on a circle centered on the optical axis. Other features, aspects and embodiments are also disclosed.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: February 12, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Mark A. McCord, Paul F. Petric, Allen Carroll
  • Patent number: 8354655
    Abstract: A method of treating a photoresist relief feature having an initial line roughness and an initial critical dimension. The method may include directing ions toward the photoresist in a first exposure at a first angular range and first dose rate and a that is configured to reduce the initial line roughness to a second line roughness. The method may also include directing ions toward the photoresist relief feature in a second exposure at a second ion dose rate greater than the first dose rate, wherein the second ion dose rate is configured to swell the photoresist relief feature.
    Type: Grant
    Filed: May 3, 2011
    Date of Patent: January 15, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Christopher J. Leavitt, Joseph C. Olson, Patrick M. Martin
  • Patent number: 8330129
    Abstract: One embodiment relates to an ion implanter. The ion implanter includes an ion source to generate an ion beam, as well as a scanner to scan the ion beam across a surface of a workpiece. The ion implanter also includes an array of absorption and radiation elements arranged to absorb energy of the scanned ion beam and radiate at least some of the absorbed energy away from the propagation direction. A detection element (e.g., an infrared detector) is arranged to detect energy (e.g., in the form of heat) radiated by the array of absorption and radiation elements and to determine a beam profile of the scanned ion beam based on the detected energy.
    Type: Grant
    Filed: August 24, 2011
    Date of Patent: December 11, 2012
    Assignee: Axcelis Technologies Inc.
    Inventor: William D. Lee
  • Patent number: 8314369
    Abstract: A method and apparatus are provided for treating a substrate. The substrate is positioned on a support in a thermal treatment chamber. Electromagnetic radiation is directed toward the substrate to anneal a portion of the substrate. Other electromagnetic radiation is directed toward the substrate to preheat a portion of the substrate. The preheating reduces thermal stresses at the boundary between the preheat region and the anneal region. Any number of anneal and preheat regions are contemplated, with varying shapes and temperature profiles, as needed for specific embodiments. Any convenient source of electromagnetic radiation may be used, such as lasers, heat lamps, white light lamps, or flash lamps.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: November 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Moffatt, Abhilash J. Mayur, Sundar Ramamurthy, Joseph Ranish, Aaron Hunter
  • Patent number: 8309884
    Abstract: The present invention is to provide a laser irradiation apparatus for forming a laser beam which has a shape required for the annealing and which has homogeneous energy distribution, by providing a slit at an image-formation position of a diffractive optical element, wherein the slit has a slit opening whose length is changeable. The laser irradiation apparatus comprises a laser oscillator, a diffractive optical element, and a slit, wherein the slit has a slit opening whose length in a major-axis direction thereof is changeable, wherein a laser beam is delivered obliquely to a substrate, and wherein the laser beam is a continuous wave solid-state, gas, or metal laser, or a pulsed laser with a repetition frequency of 10 MHz or more.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: November 13, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 8304749
    Abstract: In a particle-beam projection processing apparatus for irradiating a target by a beam of energetic electrically charged particles, including an illumination system, a pattern definition system for positioning an aperture arrangement composed of apertures transparent to the energetic particles in the path of the illuminating beam, and a projection system to project the beam onto a target, there is provided at least one plate electrode device, which has openings corresponding to the apertures of the pattern definition system and including a composite electrode composed of a number of partial electrodes being arranged non-overlapping and adjoining to each other, the total lateral dimensions of the composite electrode covering the aperture arrangement of the pattern definition system. The partial electrodes can be applied different electrostatic potentials.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: November 6, 2012
    Assignee: IMS Nanofabrication AG
    Inventors: Elmar Platzgummer, Stefan Cernusca
  • Patent number: 8294125
    Abstract: One embodiment relates to an electron-beam apparatus for defect inspection and/or review of substrates or for measuring critical dimensions of features on substrates. The apparatus includes an electron gun and an electron column. The electron gun includes an electron source configured to generate electrons for an electron beam and an adjustable beam-limiting aperture which is configured to select and use one aperture size from a range of aperture sizes. Another embodiment relates to providing an electron beam in an apparatus. Advantageously, the disclosed apparatus and methods reduce spot blur while maintaining a high beam current so as to obtain both high sensitivity and high throughput.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: October 23, 2012
    Assignee: KLA-Tencor Corporation
    Inventors: Liqun Han, Marian Mankos, Xinrong Jiang, Rex Runyon, John Greene
  • Patent number: 8288741
    Abstract: A method for treating a workpiece. The method includes directing a first ion beam to a first region of a workpiece, wherein the first ion beam has a first ion angular profile of first ions extracted through an aperture of an extraction plate. The method also includes directing a second ion beam to the first region of the workpiece, wherein the second ion beam has a second ion angular profile different than the first ion profile of second ions extracted through the aperture of the extraction plate.
    Type: Grant
    Filed: August 16, 2011
    Date of Patent: October 16, 2012
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Timothy J. Miller, Ludovic Godet
  • Publication number: 20120211677
    Abstract: The invention relates to a multiple beam charged particle optical system, comprising an electrostatic lens structure with at least one electrode, provided with apertures, wherein the effective size of a lens field effected by said electrode at a said aperture is made ultimately small. The system may comprise a diverging charged particle beam part, in which the lens structure is included. The physical dimension of the lens is made ultimately small, in particular smaller than one mm, more in particular less than a few tens of microns. In further elaboration, a lens is combined with a current limiting aperture, aligned such relative to a lens of said structure, that a virtual aperture effected by said current limiting aperture in said lens is situated in an optimum position with respect to minimizing aberrations total.
    Type: Application
    Filed: May 1, 2012
    Publication date: August 23, 2012
    Inventors: Pieter Kruit, Yanxia Zhang, Matijn J. Van Bruggen, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8222616
    Abstract: A system and method of adapting a radiation therapy treatment plan for a patient by varying the fraction size delivered to the patient on any individual day, based at least partially on the use of daily patient registration (i.e., taking images of the patient before each fraction is delivered to see the position and size of the tumor on that day). The fraction size can be dynamically altered based upon the biology of the tumor.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: July 17, 2012
    Assignee: Tomotherapy Incorporated
    Inventors: Weiguo Lu, Mingli Chen, Quan Chen, Kenneth J. Ruchala, Gustavo H. Olivera
  • Patent number: 8198601
    Abstract: The disclosure relates to a method for producing a multi-beam deflector array device with a plurality of openings for use in a particle-beam exposure apparatus, in particular a projection lithography system, said method starting from a CMOS wafer and comprising the steps of generating at least one pair of parallel trenches on the first side of the wafer blank at the edges of an area where the circuitry layer below is non-functional, the trenches reaching into the layer of bulk material; passivating the sidewalls and bottom of the trenches; depositing a conducting filling material into the trenches, thus creating columns of filling material serving as electrodes; attaching metallic contact means to the top of the electrodes; structuring of an opening between the electrodes, said opening stretching across abovementioned area so that the columns are arranged opposite of each other on the sidewalls of the opening.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: June 12, 2012
    Assignee: IMS Nanofabrication AG
    Inventors: Elmar Platzgummer, Heinrich Fragner
  • Patent number: 8188450
    Abstract: The invention relates to a multiple be charged particle optical system, comprising an electrostatic lens structure with at least one electrode, provided with apertures, wherein the effective size of a lens field effected by said electrode at a said aperture is made ultimately small. The system may comprise a diverging charged particle beam part, in which the lens structure is included. The physical dimension of the lens is made ultimately small, in particular smaller than one mm, more in particular less than a few tens of microns. En further elaboration, a lens is combined with a current limiting aperture, aligned such relative to a lens of said structure, that a virtual aperture effected by said current limiting aperture in said lens is situated in an optimum position with respect to minimizing aberrations total.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: May 29, 2012
    Assignee: Mapper Lithography IP B.V.
    Inventors: Pieter Kruit, Yanxia Zhang, Martijn J. Van Bruggen, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8183543
    Abstract: A multi-beam source for generating a plurality of beamlets of energetic electrically charged particles. The multi-beam source includes an illumination system generating an illuminating beam of charged particles and a beam-forming system being arranged after the illumination system as seen in the direction of the beam, adapted to form a plurality of telecentric or homocentric beamlets out of the illuminating beam. The beam forming system includes a beam-splitter and an electrical zone device, the electrical zone having a composite electrode composed of a plurality of substantially planar partial electrodes, adapted to be applied different electrostatic potentials and thus influencing the beamlets.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: May 22, 2012
    Assignee: IMS Nanofabrication AG
    Inventor: Elmar Platzgummer
  • Patent number: 8173977
    Abstract: It is an object of the present invention to provide a laser irradiation apparatus and a laser irradiation method that increase energy intensity distribution in a region having low energy intensity distribution in an end region in a major-axis direction of laser light, in performing laser irradiation. In irradiating an irradiation surface with laser light, laser light oscillated from a laser oscillator is converged in one direction through an optical element. The laser light which passes through the optical element and which is converged in one direction passes through a means which shields an end region in a major-axis direction of the laser light. Accordingly, a region where energy intensity distribution is precipitously high in the end region in the major-axis direction of the laser light can be formed in the irradiation surface.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: May 8, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 8153996
    Abstract: A pattern forming apparatus using lithography technique includes a stage configured to allow a target object to be placed thereon; a plurality of columns configured to form patterns on the target object by using a charged particle beam while moving relatively to the stage; a pattern forming rule setting unit configured to set a pattern forming rule depending on a position of broken one of the plurality of columns; a region setting unit configured to set regions so that unbroken ones of the plurality of columns respectively form a pattern in one of the regions; a plurality of control circuits each configured to control any one of the plurality of columns different from others of the plurality of columns controlled by others of the plurality of control circuits; and a pattern forming data processing unit configured to perform a converting process on pattern forming data for the regions set to output a corresponding data generated by the converting process to the control circuit of a corresponding one of the unb
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: April 10, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Takayuki Abe, Rikio Tomiyoshi, Hiroshi Nozue
  • Patent number: 8143602
    Abstract: The present disclosure provides a maskless lithography apparatus. The apparatus includes a plurality of writing chambers, each including: a wafer stage operable to secure a wafer to be written and a multi-beam module operable to provide multiple radiation beams for writing the wafer; an interface operable to transfer wafers between each of the writing chambers and a track unit for processing an imaging layer to the wafers; and a data path operable to provide a set of circuit pattern data to each of the multiple radiation beams in each of the writing chambers.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: March 27, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jeng-Horng Chen, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 8129698
    Abstract: A pattern density distribution and a dose distribution calculated using the pattern density distribution are multiplied by each other to calculate an exposure distribution. A fogging electron amount distribution is calculated using the exposure distribution and a function descriptive of a fogging spread distribution. Charge amount distributions in irradiation and non-irradiation regions are calculated using the exposure distribution and the fogging electron amount distribution. A position displacement amount distribution is calculated using the charge amount distributions and a response function for converting a charge amount to a position displacement error.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: March 6, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Noriaki Nakayamada, Seiji Wake
  • Patent number: RE44179
    Abstract: A writing method includes emitting a first charged particle beam formed to be a first shape by passing through a first shaping aperture and a second shaping aperture, onto a target workpiece; and emitting a second charged particle beam formed to be a second shape by passing through the first shaping aperture and the second shaping aperture, wherein the second charged particle beam is superimposed onto a same position exposed by the first charged particle beam and is formed by using an opposite sides of respective first and second shaping apertures to those used for the first shape.
    Type: Grant
    Filed: July 19, 2012
    Date of Patent: April 30, 2013
    Assignee: Nuflare Technology, Inc.
    Inventors: Takayuki Abe, Tetsuo Yamaguchi, Fumio Hide