Variable Beam Patents (Class 250/492.23)
  • Patent number: 8124946
    Abstract: A system and method for magnetically filtering an ion beam during an ion implantation into a workpiece is provided, wherein ions are emitted from an ion source and accelerated the ions away from the ion source to form an ion beam. The ion beam is mass analyzed by a mass analyzer, wherein ions are selected. The ion beam is then decelerated via a decelerator once the ion beam is mass-analyzed, and the ion beam is further magnetically filtered the ion beam downstream of the deceleration. The magnetic filtering is provided by a quadrapole magnetic energy filter, wherein a magnetic field is formed for intercepting the ions in the ion beam exiting the decelerator to selectively filter undesirable ions and fast neutrals.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: February 28, 2012
    Assignee: Axcelis Technologies Inc.
    Inventors: Geoffrey Ryding, Theodore Smick, Marvin Farley, Takao Sakase, Bo Vanderberg
  • Patent number: 8067752
    Abstract: A semiconductor testing method capable of quickly counting semiconductor cells in which a seemingly horizontal or vertical line is drawn with a mouse, and raster rotation is performed in alignment with the closer axis. After that, the stage is horizontally moved, pattern matching is performed on an image on a position where the image should be disposed, and an angle is adjusted. The stage is moved evenly along the X-axis and the Y-axis, achieving a movement to a destination like a straight line. In synchronization with the smooth movement of the stage, a cell is surrounded in a rectangular frame by a ruler, and the number of cells is displayed with a numeric value.
    Type: Grant
    Filed: April 22, 2010
    Date of Patent: November 29, 2011
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tohru Ando, Yasuhiko Nara, Tsutomu Saito, Shinichi Kato, Takeshi Sunaoshi
  • Patent number: 8049191
    Abstract: A method includes setting a target pattern to be formed on a substrate using a reticle, obtaining a first pattern using the reticle and a first illumination condition, calculating, a second illumination condition under which the target pattern is transferred onto the substrate using the reticle, and a third illumination condition under which the first pattern is transferred onto a substrate using the reticle, using mathematical models each of which defines the relationship between an illumination condition and a virtual pattern transferred onto a substrate using the illumination condition, determining a fourth illumination condition, obtained by adding the difference between the calculated second illumination condition and third illumination condition to the first illumination condition, as the illumination condition, and transferring the pattern of the reticle onto the substrate by illuminating the reticle using the determined illumination condition.
    Type: Grant
    Filed: October 27, 2009
    Date of Patent: November 1, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kouichirou Tsujita, Koji Mikami, Hiroyuki Ishii
  • Patent number: 8049192
    Abstract: An ion beam blocking array configured to provide a mechanical means for adjusting the beam current profile of an ion ribbon beam by blocking the beam current at one or more locations across the ribbon beam. The ion beam blocking array includes a drive motor, an axle connected to the drive motor and a plurality of profile wheels disposed along the axle where each of the profile wheels is configured to rotate when the axle rotates. Each of the profile wheels is disposed across a width of the ribbon beam and has a position corresponding to a location along the width of the beam.
    Type: Grant
    Filed: December 24, 2009
    Date of Patent: November 1, 2011
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Neil J. Bassom, Leo V. Klos, Joseph C. Olson
  • Publication number: 20110233431
    Abstract: A variable aperture within an aperture device is used to shape the ion beam before the substrate is implanted by shaped ion beam, especially to finally shape the ion beam in a position right in front of the substrate. Hence, different portions of a substrate, or different substrates, can be implanted respectively by different shaped ion beams without going through using multiple fixed apertures or retuning the ion beam each time. In other words, different implantations may be achieved respectively by customized ion beams without high cost (use multiple fixed aperture devices) and complex operation (retuning the ion beam each time). Moreover, the beam tune process for acquiring a specific ion beam to be implanted may be accelerated, to be faster than using multiple fixed aperture(s) and/or retuning the ion beam each time, because the adjustment of the variable aperture may be achieved simply by mechanical operation.
    Type: Application
    Filed: March 29, 2010
    Publication date: September 29, 2011
    Inventors: Zhimin WAN, John D. Pollock, Don Berrian, Causon Ko-Chuan Jen
  • Patent number: 8008636
    Abstract: Ion implantation systems and scanning systems are provided, in which a focus adjustment component is provided to adjust a focal property of an ion beam to diminish zero field effects of the scanner upon the ion beam. The focal property may be adjusted in order to improve the consistency of the beam profile scanned across the workpiece, or to improve the consistency of the ion implantation across the workpiece. Methods are disclosed for providing a scanned ion beam to a workpiece, comprising scanning the ion beam to produce a scanned ion beam, adjusting a focal property of an ion beam in relation to zero field effects of the scanner upon the ion beam, and directing the ion beam toward the workpiece.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: August 30, 2011
    Assignee: Axcelis Technologies, Inc.
    Inventor: Edward C. Eisner
  • Patent number: 7985958
    Abstract: According to an aspect of the invention, there is provided an electron beam drawing apparatus comprising at least one stage of a deflection amplifier and a deflection unit, a first storage section which stores shot information at a drawing time, a second storage section which stores a correction table indicating a relation between the shot information and an output voltage of the deflection amplifier, and an adjusting section which adjusts an output of the deflection amplifier based on the correction table stored in the second storage section and the shot information stored in the first storage section.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: July 26, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsuro Nakasugi, Kazuo Tawarayama, Hiroyuki Mizuno, Takumi Ota, Noriaki Sasaki, Tatsuhiko Higashiki, Takeshi Koshiba, Shunko Magoshi
  • Patent number: 7977653
    Abstract: A semiconductor device fabrication method includes preparing a substrate having a first circuit pattern of a semiconductor device; providing a mask with at least part of second circuit pattern of the semiconductor device; collimating incident direction of particles; changing at least one of the a substrate angle between a vertical axis of the substrate and the incident direction of the particles and a mask angle between a vertical axis of the mask and the incident direction so that the second circuit pattern on the mask can be aligned to the first circuit pattern on the substrate with a design margin; and selectively irradiating the particles to the substrate using the mask.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: July 12, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Shibata
  • Patent number: 7977654
    Abstract: A writing apparatus includes a writing unit configured to a write a pattern onto a target workpiece, based on a writing data of the pattern to be written on the target workpiece, and a generation unit configured generate, after the pattern has been written, writing data of a figure code indicating a writing information of when the target workpiece is written, based on the writing information, wherein the writing unit further writes the figure code onto the target workpiece, based on the writing data of the figure code.
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: July 12, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Hitoshi Sunaoshi
  • Publication number: 20110155929
    Abstract: An ion beam blocking array configured to provide a mechanical means for adjusting the beam current profile of an ion ribbon beam by blocking the beam current at one or more locations across the ribbon beam. The ion beam blocking array includes a drive motor, an axle connected to the drive motor and a plurality of profile wheels disposed along the axle where each of the profile wheels is configured to rotate when the axle rotates. Each of the profile wheels is disposed across a width of the ribbon beam and has a position corresponding to a location along the width of the beam.
    Type: Application
    Filed: December 24, 2009
    Publication date: June 30, 2011
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Neil J. Bassom, Leo V. Klos, Joseph C. Olson
  • Patent number: 7964856
    Abstract: In an ion implanting apparatus 10 including a separation slit 20 which receives an ion beam 1 having passed through a mass-separation electromagnet 17 and allows a desired type of ion to selectively pass therethrough, the separation slit 20 is operable to vary a shape of a gap through which the ion beam 1 passes. In addition, the ion implanting apparatus 10 includes a variable slit 30 which is disposed between an extraction electrode system 15 and the mass-separation electromagnet 17 so as to form a gap through which the ion beam 1 passes and is operable to vary a shape of the gap so as to shield a part of the ion beam 1 extracted from the ion source 12. The ion implanting apparatus 10 may include both or one of the separation slit 20 and the variable slit 30.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: June 21, 2011
    Assignee: IHI Corporation
    Inventors: Ichiro Nakamoto, Hiroshi Horai, Tatsuya Sodekoda, Masahiro Yoshida
  • Patent number: 7947951
    Abstract: This invention is a multi-beam charged particle instrument that can simultaneously focus electrons and a variety of positive and negative ions, such as Gallium, Oxygen and Cesium ions, onto the same material target. In addition, the instrument has provision to simultaneously capture the spectrum of both secondary electrons and ions. The highly dispersive, high resolution mass spectrometer portion of the instrument is expected to detect and identify secondary ion species across the entire range of the periodic table, and also record a portion of their emitted energy spectrum. The electron energy spectrometer part of the instrument is designed to acquire the entire range of scattered electrons, from the low energy secondary electrons through to the elastic backscattered electrons.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: May 24, 2011
    Assignee: National University of Singapore
    Inventor: Anjam Khursheed
  • Patent number: 7928413
    Abstract: The present invention relates to components in an ion implanter that may see incidence of the ion beam, such as a beam dump or a beam stop. Such components will be prone to the ions sputtering material from their surfaces, and sputtered material may become entrained in the ion beam. This entrained material is a source of contamination. The present invention provides an ion implanter comprising power supply apparatus and an ion-receiving component. The component has an opening that receives ions from an ion beam such that ions strike an internal surface. The power supply apparatus is arranged to provide an electrical bias to the internal surface to decelerate the ions prior to their striking the surface, thereby mitigating the problem of material being sputtered from the surface.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: April 19, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Gregory Robert Alcott, Adrian Murrell
  • Patent number: 7928414
    Abstract: A charged particle beam writing apparatus includes an irradiation part configured to irradiate a charged particle beam; a first shaping aperture member having passing areas, that the charged particle beam passes through, on both sides of an area blocking the charged particle beam; a deflection part configured to deflect the charged particle beam that has passed through the first shaping aperture member; a second shaping aperture member having passing areas, that the charged particle beam passes through, on both sides of an area blocking the deflected charged particle beam; and a stage on which a target workpiece irradiated with the charged particle beam that has passed through the second shaping aperture member is placed.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: April 19, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Takayuki Abe
  • Patent number: 7928404
    Abstract: The invention provides methods for conjugate blanking of a charged particle beam within a charged particle column using a beam blanker. The beam blanker comprises a first deflector, a second deflector and a blanking aperture, the first deflector being positioned between a gun lens and a main lens, the second deflector being positioned between the first deflector and the main lens, the blanking aperture being positioned between the second deflector and the main lens, and the first deflector, the second deflector and the blanking aperture being aligned on the optical axis of the column.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: April 19, 2011
    Assignee: Multibeam Corporation
    Inventor: N. William Parker
  • Patent number: 7923704
    Abstract: A charged particle beam writing method includes writing a pattern on a first target object by using a charged particle beam in a writing apparatus; and conveying a second target object after having written the pattern on the first target object, wherein even though the second target object is arranged on any one of conveying paths including a carry-out port and a carry-in port of the writing apparatus, a conveying operation for the second target object is not performed during writing the pattern on the first target object.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: April 12, 2011
    Assignee: NuFlare Technology, Inc.
    Inventor: Hitoshi Sunaoshi
  • Patent number: 7902528
    Abstract: A method of particle beam lithography includes selecting at least two cell patterns from a stencil, correcting proximity effect by dose control and by pattern modification for the at least two cell patterns, and writing the at least cell two patterns by one shot of the particle beam after proximity effect correction (PEC).
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: March 8, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Daisuke Hara, Katsuo Komuro, Takashi Mitsuhashi
  • Patent number: 7897308
    Abstract: A method for transferring a predetermined pattern onto a flat support performed by direct writing by means of a particle beam comprises at least: deposition of a photoresist layer on a free surface of the support, application of the beam on exposed areas of the photoresist layer, performing correction by modulation of exposure doses received by each exposed area, developing of the photoresist layer so as to form said pattern. Correction further comprises determination of a substitution pattern (11) comprising at least one subresolution feature and use of the substitution pattern (11) for determining the areas to be exposed when the electron beam is applied. In addition, modulation takes account of the density of the substitution pattern (11) near to each exposed area.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: March 1, 2011
    Assignees: Commissariat a l'Energie Atomique, Freescale Semiconductor, Inc.
    Inventors: Laurent Pain, Serdar Manakli, Georges Bervin
  • Patent number: 7897946
    Abstract: A crystallization apparatus includes a light modulation element, and an image forming optical system that forms a light intensity distribution set based on light transmitted through the light modulation element on an irradiation surface. The crystallization apparatus irradiates a non-single crystal semiconductor film with light having the light intensity distribution to generate a crystallized semiconductor film. A curvature radius of at least one isointensity line of a light intensity substantially varies along the isointensity line in the light intensity distribution on the irradiation surface, and a curvature radius of at least a part of the isointensity line has a minimum value of 0.3 ?m or below.
    Type: Grant
    Filed: March 19, 2008
    Date of Patent: March 1, 2011
    Assignee: Advanced LCD Technologies Development Center Co., Ltd.
    Inventors: Yukio Taniguchi, Masakiyo Matsumura, Kazufumi Azuma, Tomoya Kato, Takahiko Endo
  • Patent number: 7897943
    Abstract: A method and apparatus satisfying growing demands for improving the precision of angle of incidence of implanting ions that impact a semiconductor wafer and the precision of ribbon ion beams for uniform doping of wafers as they pass under an ion beam. The method and apparatus are directed to the design and combination together of novel magnetic ion-optical transport elements for implantation purposes. The design of the optical elements makes possible: (1) Broad-range adjustment of the width of a ribbon beam at the work piece; (2) Correction of inaccuracies in the intensity distribution across the width of a ribbon beam; (3) Independent steering about both X and Y axes; (4) Angle of incidence correction at the work piece; and (5) Approximate compensation for the beam expansion effects arising from space charge. In a practical situation, combinations of the elements allow ribbon beam expansion between source and work piece to 350 millimeter, with good uniformity and angular accuracy.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: March 1, 2011
    Inventors: Kenneth H. Purser, Harald A. Enge, Norman L. Turner
  • Patent number: 7893412
    Abstract: An attenuator system for attenuating a radiation beam, including a first attenuating element placed in a path of a radiation beam for attenuation thereof, a second attenuating element placed distal to the first attenuating element for further attenuation of the radiation beam, a first positioner operatively connected to the first attenuating element, which moves the first attenuating element along a first direction, a first processor operatively connected to the first positioner for controlling motion of the first attenuating element, a second positioner operatively connected to the second attenuating element, which moves the second attenuating element along a second direction, and a second processor operatively connected to the second positioner for controlling motion of the second attenuating element, wherein a two-dimensional attenuation distribution of the first attenuating element varies linearly with respect to at least one coordinate.
    Type: Grant
    Filed: November 27, 2008
    Date of Patent: February 22, 2011
    Inventor: Moshe Ein-Gal
  • Patent number: 7888660
    Abstract: A method and apparatus satisfying growing demands for improving the precision of angle of incidence of implanting ions that impact a semiconductor wafer and the precision of ribbon ion beams for uniform doping of wafers as they pass under an ion beam. The method and apparatus are directed to the design and combination together of novel magnetic ion-optical transport elements for implantation purposes. The design of the optical elements makes possible: (1) Broad-range adjustment of the width of a ribbon beam at the work piece; (2) Correction of inaccuracies in the intensity distribution across the width of a ribbon beam; (3) Independent steering about both X and Y axes; (4) Angle of incidence correction at the work piece; and (5) Approximate compensation for the beam expansion effects arising from space charge. In a practical situation, combinations of the elements allow ribbon beam expansion between source and work piece to 350 millimeter, with good uniformity and angular accuracy.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: February 15, 2011
    Inventors: Kenneth H. Purser, Harald A. Enge, Norman L. Turner
  • Patent number: 7851774
    Abstract: A direct-write (DW) exposure system is provided which includes a stage for holding a substrate and configured to scan the substrate along an axis during exposure, a data processing module for processing pattering data and generating instructions associated with the patterning data, and an exposure module that includes a plurality of beams that are focused onto the substrate such that the beams cover a width that is larger than a width of a field size and a beam controller that controls the plurality of beams in accordance with the instructions as the substrate is scanned along the axis. The widths are in a direction different from the axis.
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: December 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Burn Jeng Lin, Jeng-Horng Chen, Shy-Jay Lin, Tsai-Sheng Gau
  • Patent number: 7842935
    Abstract: A method for writing a master image on a substrate includes dividing the master image into a matrix of frames, each frame including an array of pixels defining a respective frame image in a respective frame position within the master image. An electron beam is scanned in a raster pattern over the substrate, while shaping the electron beam responsively to the respective frame image of each of the frames as the electron beam is scanned over the respective frame position, so that in each frame, the electron beam simultaneously writes a multiplicity of the pixels onto the substrate.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: November 30, 2010
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Meir Aloni, Mula Friedman, Jimmy Vishnipolsky, Gilad Almogy, Alon Litman, Yonatan Lehman, Doron Meshulach, Ehud Tirosh
  • Patent number: 7844941
    Abstract: When a space, sandwiched by large patterns having a predetermined size or more, is exposed using a charged particle beam, the space sandwiched by the large patterns is exposed using a common block mask having the space and edge portions of the large patterns on both sides of the space, and portions other than the edge portions of the large patterns on both sides are exposed by a variable rectangular beam or by using another block mask.
    Type: Grant
    Filed: July 24, 2009
    Date of Patent: November 30, 2010
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Yasushi Takahashi
  • Patent number: 7838851
    Abstract: The present invention provides a method and an apparatus for producing a two-dimensional patterned beam, e.g. a two-dimensional patterned and focused ion beam, for fabricating a nano-structure on a substrate with the precursor gas. In comparison with the conventional focused ion beam that is applied for fabricating a dot-like nano-structure the method is more simplified and easy to be achieved.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: November 23, 2010
    Assignee: Instrument Technology Research Center, National Applied Research Laboratories
    Inventors: Jyh-Shin Chen, Liang-Chiun Chao, Sheng-Yuan Chen, Hsiao-Yu Chou
  • Patent number: 7825015
    Abstract: The present invention provides a method for implanting ions in a semiconductor device capable of compensating for a difference in threshold voltages between a central portion and edge portions of a substrate generated while performing uniform ion implantation to entire surfaces of a substrate and another method for fabricating a semiconductor device capable of improving distribution of transistor parameters inside a substrate by forming a nonuniform channel doping layer or by forming a nonuniform junction profile.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: November 2, 2010
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Yong-Sun Sohn, Seung-Woo Jin, Min-Yong Lee, Kyoung-Bong Rouh
  • Publication number: 20100264328
    Abstract: An ion source, capable of generating high-density wide ribbon ion beam, utilizing one or more plasma sources is disclosed. In addition to the plasma source(s), the ion source also includes a diffusion chamber. The diffusion chamber has an extraction aperture oriented along the same axis as the dielectric cylinder of the plasma source. In one embodiment, dual plasma sources, located on opposing ends of the diffusion chamber are used to create a more uniform extracted ion beam. In a further embodiment, a multicusp magnetic field is used to further improve the uniformity of the extracted ion beam.
    Type: Application
    Filed: April 16, 2009
    Publication date: October 21, 2010
    Inventors: Costel Biloiu, Jay Scheuer, Alexander Perel
  • Patent number: 7816658
    Abstract: An extreme ultra-violet lithographic apparatus for imaging a pattern onto a substrate includes a radiation system constructed and arranged to provide a beam of an extreme ultra-violet radiation, and an absorber arranged in the beam and constructed and arranged to absorb at least a portion of the radiation beam. The absorber has a volume configured to accommodate a flow of an absorbing gas. The flow is directed in a transverse direction with respect to the beam. The absorber includes a structure having an extreme ultra-violet radiation-transmissive beam entry area and an extreme ultra-violet radiation-transmissive beam exit area. The apparatus also includes a gas inlet actuator array configured to inject the gas into the volume and a gas outlet actuator array arranged to evacuate the gas from the volume.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: October 19, 2010
    Assignee: ASML Netherlands B.V.
    Inventors: Jan Bernard Plechelmus Van Schoot, Johannes Hubertus Josephina Moors
  • Patent number: 7800075
    Abstract: A multifunction module for an electron beam column comprises upper and lower electrodes, and a central ring electrode. The upper and lower electrodes have multipoles and are capable of deflecting, or correcting an aberration of, an electron beam passing through the electrodes. A voltage can be applied to the central ring electrode independently of the voltages applied to the upper and lower electrodes to focus the electron beam on a substrate.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: September 21, 2010
    Inventors: Benyamin Buller, William J. DeVore, Juergen Frosien, Xinrong Jiang, Richard L. Lozes, Henry Thomas Pearce-Percy, Dieter Winkler, Steven T. Coyle, Helmut Banzhof
  • Patent number: 7786454
    Abstract: A direct-write electron beam lithography system employing a patterned beam-defining aperture to enable the generation of high current-density shaped beams without the need for multiple beam-shaping apertures, lenses and deflectors is disclosed. Beam blanking is accomplished without the need for an intermediate crossover between the electron source and the wafer being patterned by means of a double-deflection blanker, which also facilitates proximity effect correction. A simple type of “moving lens” is utilized to eliminate off-axis aberrations in the shaped beam. A method for designing the patterned beam-defining aperture is also disclosed.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: August 31, 2010
    Assignees: Tokyo Electron Limited, Multibeam Systems Inc.
    Inventor: N. William Parker
  • Patent number: 7781748
    Abstract: In a charged-particle exposure apparatus for exposure of a target with a beam of electrically charged particles, the illumination system includes a deflector device adapted to vary the direction of incidence of the illuminating beam upon the pattern definition device, the pattern definition device forms the shape of the illuminating beam into a desired pattern, and the projection optics system projects an image of the beam shape defined in the pattern definition device onto the target; the projection optics system includes a blocking aperture device having an opening and being adapted to block passage of beams traversing outside the opening, namely when the deflector device is activated to tilt the beamlet by a sufficient angle from its non-deflected path, e.g., for blanking out during the process of loading a pattern into the pattern definition device.
    Type: Grant
    Filed: March 16, 2007
    Date of Patent: August 24, 2010
    Assignee: IMS Nanofabrication AG
    Inventor: Elmar Platzgummer
  • Patent number: 7777204
    Abstract: A system and method for improved electron beam writing that is capable of taking design intent, equipment capability and design requirements into consideration. The system and method determines an optimal writing pattern based, at least in part, on the received information.
    Type: Grant
    Filed: November 30, 2006
    Date of Patent: August 17, 2010
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dmitri Lapanik, Shohei Matsushita, Takashi Mitsuhashi, Zhigang Wu
  • Patent number: 7772575
    Abstract: A method and system for particle beam lithography, such as electron beam (EB) lithography, is disclosed. The method and system include selecting one of a plurality of cell patterns from a stencil mask and partially exposing the cell pattern to a particle beam, such as an electron beam, so as to selectively project a portion of the cell pattern on a substrate.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: August 10, 2010
    Assignee: D2S, Inc.
    Inventors: Kenji Yoshida, Takashi Mitsuhashi, Shohei Matsushita, Akira Fujimura
  • Patent number: 7767982
    Abstract: A method and system for inspecting a semiconductor wafer. The method includes providing an illumination flux through a pattern plate and a lens to a surface of a specimen to project a pattern onto the surface of the specimen. The pattern is associated with the pattern plate. Additionally, the method includes detecting the illumination flux reflected from the surface of the specimen with a detector, processing information associated with the detected illumination flux, and generating a first image based on at least information associated with the detected illumination flux. The first image includes a first image part for the pattern and a second image part for the specimen. Moreover, the method includes adjusting the lens to a state in order to achieve a first predetermined quality for the first image part, and moving the specimen to a first position.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: August 3, 2010
    Assignee: Hermes-Microvision, Inc.
    Inventors: Yi Xiang Wang, Van-Duc Nguyen, Jian Zhang
  • Patent number: 7763866
    Abstract: The present invention relates to a charged particle beam device (1) for inspecting or structuring a specimen (3) comprising a charged particle beam source (5) to generate a charged particle beam (7), a focussing lens (9) to focus the charged particle beam (7) onto the specimen (3), and an aperture system (13) for defining an aperture (6) for the charged particle beam (7). The aperture system (13) includes a first member (20) to block a first portion (7a) of the charged particle beam (7) between the charged particle beam source (5) and the focussing lens (9), a second member (30) to block a second portion (7b) of the charged particle beam (7) between the charged particle beam source (5) and the focussing lens (9), first means (24) for moving the first member (20) to adjust the size of the blocked first portion (7a) of the charged particle beam (7), and second means (34) for moving the second member (30) independently from the first portion (7b).
    Type: Grant
    Filed: October 19, 2004
    Date of Patent: July 27, 2010
    Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH
    Inventors: Jürgen Frosien, Stefan Lanio, Helmut Banzhof
  • Patent number: 7759659
    Abstract: A writing method includes emitting a first charged particle beam formed to be a first shape by passing through a first shaping aperture and a second shaping aperture, onto a target workpiece; and emitting a second charged particle beam formed to be a second shape by passing through the first shaping aperture and the second shaping aperture, wherein the second charged particle beam is superimposed onto a same position exposed by the first charged particle beam and is formed by using an opposite sides of respective first and second shaping apertures to those used for the first shape.
    Type: Grant
    Filed: August 18, 2008
    Date of Patent: July 20, 2010
    Assignee: NuFlare Technology, Inc.
    Inventors: Takayuki Abe, Tetsuo Yamaguchi, Fumio Hide
  • Patent number: 7759660
    Abstract: Methods to reduce the write time for forming mask patterns having angled and non-angled features using electron beam lithography are disclosed. In one exemplary embodiment, non-angled features of the mask pattern are formed by exposure to an electron beam. The orientation of the substrate and a path of the generally rectangular-shaped shot from the electron beam may be relatively altered such that the substrate is exposed to the electron beam to form the angled features as if they were non-angled features. In another exemplary embodiment, the electron beam lithography system determines whether it is necessary to relatively alter the orientation of the substrate and a path of the generally rectangular-shaped shot from the electron beam to form the angled features based on the number of angled features and the time required for relatively altering the orientation. Electron beam lithography systems employing a rotatable stage, rotatable apertures, or both, are also disclosed.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: July 20, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Baorui Yang
  • Patent number: 7755657
    Abstract: The present invention includes a method to print patterns with improved edge acuity. The method for printing fine patterns comprises the actions of: providing an SLM and providing a pixel layout pattern with different categories of modulating elements, the categories differing in the phase of the complex amplitude.
    Type: Grant
    Filed: June 14, 2004
    Date of Patent: July 13, 2010
    Assignee: Micronic Laser Systems AB
    Inventors: Torbjorn Sandstrom, Hans Martinsson
  • Publication number: 20100173235
    Abstract: A writing method includes calculating a proximity effect-corrected dose for correcting a proximity effect in charged particle beam writing, for each first mesh region made by virtually dividing a writing region of a target object into a plurality of first mesh regions of a first mesh size, calculating a fogging effect-corrected dose by using the proximity effect-corrected dose calculated and an area density in the first mesh size with respect to a part of a calculation region for calculating the fogging effect-corrected dose for correcting a fogging effect in the charged particle beam writing, and by using an area density in a second mesh size larger than the first mesh size with respect to a remaining part of the calculation region, synthesizing the fogging effect-corrected dose and the proximity effect-corrected dose for the each first mesh region, and writing a pattern on the target object by using a charged particle beam based on a synthesized correction dose.
    Type: Application
    Filed: December 30, 2009
    Publication date: July 8, 2010
    Applicant: NuFlare Technology, Inc.
    Inventors: Yasuo KATO, Jun Yashima, Hiroshi Matsumoto, Tomoo Motosugi, Tomohiro Iijima, Takayuki Abe
  • Patent number: 7750324
    Abstract: A charged particle beam lithography apparatus includes a first block area divider configured to divide a pattern forming area into a plurality of first block areas in order to make a number of shots when forming a pattern substantially equal; an area density calculator configured to calculate, using a plurality of small areas obtained by virtually dividing the pattern forming area into mesh areas of a predetermined size smaller than all of the first block areas, a pattern area density of each small area positioned therein for each of the first block areas; a second block area divider configured to re-divide the pattern forming area divided into the plurality of first block areas into a plurality of second block areas of a uniform size, which is larger than the small area; a corrected dose calculator configured to calculate, using the pattern area density of each small area, a proximity effect-corrected dose in each corresponding small area positioned inside the second block area for each of the second block a
    Type: Grant
    Filed: September 4, 2008
    Date of Patent: July 6, 2010
    Assignee: NuFlare Technology, Inc.
    Inventors: Susumu Oogi, Hitoshi Higurashi, Akihito Anpo, Toshiro Yamamoto
  • Patent number: 7732791
    Abstract: A semiconductor testing method capable of quickly counting semiconductor cells in which a seemingly horizontal or vertical line is drawn with a mouse, and raster rotation is performed in alignment with the closer axis. After that, the stage is horizontally moved, pattern matching is performed on an image on a position where the image should be disposed, and an angle is adjusted. The stage is moved evenly along the X-axis and the Y-axis, achieving a movement to a destination like a straight line. In synchronization with the smooth movement of the stage, a cell is surrounded in a rectangular frame by a ruler, and the number of cells is displayed with a numeric value.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: June 8, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tohru Ando, Yasuhiko Nara, Tsutomu Saito, Shinichi Kato, Takeshi Sunaoshi
  • Patent number: 7728313
    Abstract: In a lithographic system, data transmission is carried out by a powerful electro-optical free-beam connection system enabling optical pattern data to be guided from light exit places to light entrance places inside the vacuum chamber by free-space optical beams in order to produce control signals. The burden on the pattern production system is significantly reduced by the disappearance of mechanical and electrical contacts. The paths of the free-space optical beams and the particle beams can intersect each other in a non-influential manner. Active photodiodes acting as light exit places can be spatially disposed directly in the pattern production system. Passive light waveguides which can be bundled together to form multipolar fibre array plugs, or active transmission lasers, either of which can also act as light exit places, can be arranged outside the vacuum chamber.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: June 1, 2010
    Assignee: Fraunhofer-Gesellschaft zur Foerderung der angewandten Forschung e.V.
    Inventors: Godhard Walf, Berndt Kuhlow
  • Publication number: 20100127185
    Abstract: In a maskless particle multibeam processing apparatus, a particle beam is projected through a pattern definition system producing a regular array of beamlets according to a desired pattern, which is projected onto a target which moves at continuous speed along a scanning direction with respect to the pattern definition system. During a sequence of uniformly timed exposure steps the beam image is moved along with the target along the scanning direction, and between exposure steps the location of the beam image is changed with respect to the target. During each exposure step the target covers a distance greater than the mutual distance of neighboring image elements on the target.
    Type: Application
    Filed: November 16, 2009
    Publication date: May 27, 2010
    Applicant: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Robert Nowak, Adrian Bürli
  • Patent number: 7714308
    Abstract: This VSB lithography system includes a first, second and third aperture for forming a single electron beam in each of the rectangular opening portion that are provided, and draws a figure pattern using the single electron beam formed by passing the beam through the first, second and third aperture in sequence. Each of the first, second and third aperture has a mechanism for rotationally driving the aperture around an optical axis up to an arbitrary angle from 0 to 360°. Further, in the third aperture, a mechanism for varying the opening slit width of the rectangular opening portion is provided.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: May 11, 2010
    Assignee: Elpida Memory, Inc.
    Inventor: Masahito Hiroshima
  • Patent number: 7709815
    Abstract: The inventions relates to a lithography system in which an electronic image pattern is delivered to a exposure tool for projecting an image to a target surface, said exposure tool comprising a control unit for controlling exposure projections, said control unit at least partly being included in the projection space of the said exposure tool, and being provided with control data by means of light signals, said light signals being coupled in to said control unit by using a free space optical interconnect comprising modulated light beams that are emitted to a light sensitive part of said control unit, wherein the modulated light beams are coupled in to said light sensitive part using a holed mirror for on axis incidence of said light beams on said light sensitive part, the hole or, alternatively, holes of said mirror being provided for passage of said exposure projections.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: May 4, 2010
    Assignee: Mapper Lithography IP B.V.
    Inventors: Remco Jager, Aukje Arianne Annette Kastelijn, Guido de Boer, Marco Jan Jaco Wieland, Stijn Willem Karel Herman Steenbrink
  • Patent number: 7696498
    Abstract: Embodiments of the invention include an electron beam lithography device using a dynamically controllable photocathode capable of producing a patterned electron beam. One such implementation includes a dynamic pattern generator configurable to produce an electron beam having a desired image pattern impressed thereon. Such an electron beam pattern being enabled by selectively activating programmable photoemissive elements of the pattern generator. The apparatus further including an illumination source arranged to direct a light beam onto the dynamic pattern generator to produce the electron beam having the desired pattern. The electron beam being directed through associated electron optics configured to receive the electron beam from the dynamic pattern generator and direct the electron beam onto a target substrate mounted on a stage.
    Type: Grant
    Filed: March 15, 2007
    Date of Patent: April 13, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Allen M. Carroll
  • Patent number: 7692167
    Abstract: One embodiment pertains to an apparatus for reflection electron beam lithography, including at least illumination electron-optics, an electron-reflective pattern generator, projection electron-optics, a moving stage holding a target substrate, control circuitry, and a deflection system. The illumination electron-optics is configured to form an illumination electron beam. The electron-reflective pattern generator configured to generate an electron-reflective pattern of pixels and to reflect the illumination electron beam using the pattern to form a patterned electron beam. The projection electron-optics is configured to project the patterned electron beam onto the moving target substrate. The control circuitry is configured to shift the generated pattern in discrete steps in synchronization with the stage motion. The deflection system is configured to deflect said projected patterned electron beam so as to compensate for said stage motion in between discrete shifts of said generated pattern.
    Type: Grant
    Filed: October 26, 2006
    Date of Patent: April 6, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Marian Mankos
  • Patent number: 7663125
    Abstract: An ion beam current uniformity monitor, ion implanter and related method are disclosed. In one embodiment, the ion beam current uniformity monitor includes an ion beam current measurer including a plurality of measuring devices for measuring a current of an ion beam at a plurality of locations; and a controller for maintaining ion beam current uniformity based on the ion beam current measurements by the ion beam current measurer.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: February 16, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: William G. Callahan, Morgan D. Evans, George M. Gammel, Norman E. Hussey, Gregg A. Norris, Joseph C. Olson
  • Patent number: 7660042
    Abstract: Laser beams emitted by a plurality of laser sources are divided into a plurality of sub-beams, which are irradiated onto selected portions of an amorphous semiconductor on a substrate to crystallize the amorphous semiconductor. A difference in diverging angles between the laser beams is corrected by a beam expander. The apparatus includes a sub-beam selective irradiating system including a sub-beam dividing assembly and a sub-beam focussing assembly. Also, the apparatus includes laser sources, a focussing optical system, and a combining optical system. A stage for supporting a substrate includes a plurality of first stage members, a second stage member disposed above the first stage members, and a third stage member 38C, rotatably disposed above the second stage to support an amorphous semiconductor.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: February 9, 2010
    Assignees: Sharp Kabushiki Kaisha, Japan Laser Corporation
    Inventors: Nobuo Sasaki, Koichi Ohki