Variable Beam Patents (Class 250/492.23)
  • Patent number: 7381979
    Abstract: A rotating irradiation apparatus includes a rotating gantry 3 including a front ring 19 and a rear ring 20 and is provided with a beam delivery device 11 and an irradiation device 4. The beam delivery device 11 delivers an ion beam used for particle radiotherapy. Radial support devices 61A and 61B support the front ring 19 and radial support devices 61A and 61B support the rear ring 20. Each radial support device includes a linear guide 41, an upper support structure disposed above the linear guide 41, and a lower support structure disposed below the linear guide 41. The upper support structure is movably mounted on the lower support structure and is movable in the direction of the rotational axis of the rotating gantry 3.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: June 3, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Tsutomu Yamashita, Shigeji Kaneko, Hiroshi Saga, Norio Takeda
  • Patent number: 7377228
    Abstract: System and method of gas-cluster ion beam processing is realized by incorporating improved beam and workpiece neutralizing components. Larger GCIB current transport is enabled by low energy electron neutralization of space charge of the GCIB. The larger currents transport greater quantities of gas in the GCIB. A vented faraday cup beam measurement system maintains beam dosimetry accuracy despite the high gas transport load.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: May 27, 2008
    Assignee: TEL Epion Inc.
    Inventor: Michael E. Mack
  • Patent number: 7372049
    Abstract: An EUV lithographic apparatus includes an EUV radiation source, an optical element and a cleaning device. The cleaning device includes a hydrogen radical source and a flow tube in communication with the hydrogen radical source. The cleaning device is configured to provide a flow of hydrogen radicals and the flow tube is arranged to provide a hydrogen radical flow at a predetermined position within the lithographic apparatus, for example for cleaning a collector mirror.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: May 13, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Marinus Johannes Wilhelmus Van Herpen, Derk Jan Wilfred Klunder
  • Patent number: 7368737
    Abstract: An electron beam writing method is disclosed, which includes preparing electron beam writing data structured from writing pattern data expressed by both data of VSB shots which are units of shaping beams at the time of carrying out writing a pattern and data of CP shots serving as bases of a repeating pattern, and CP aperture data into which identification numbers IDs and opening positions of respective openings of a CP aperture having openings for VSB shots and openings for CP shots are described, inputting the electron beam writing data to an electron beam writing apparatus, and expanding the electron beam writing data into data of the respective shots defined in the electron beam writing data, determining irradiation times of the respective expanded shots while correcting shot positions, and outputting control signals corresponding to shot data to repeat a shot of a desired pattern, by the electron beam writing apparatus.
    Type: Grant
    Filed: April 25, 2006
    Date of Patent: May 6, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryoichi Inanami, Tetsuro Nakasugi
  • Patent number: 7368736
    Abstract: A exposure apparatus includes a charged beam radiating unit configured to radiate a charged beam, a shaping unit including an opening for shaping the beam, a storage unit to store a history of data concerning a beam area of the beam on the shaping unit, a predicting unit to predict change amount of dimensions of the beam passing through the opening to design dimensions of the opening, the predicting the change amount being carried out based on a relation between the beam area previously prepared and change amount of the dimensions of the beam passing through the opening to the design dimensions of the opening, and a correcting unit to correct dimension of a pattern which corresponds to the beam and is to be formed on the sample based on the change amount predicted by the predicting unit.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: May 6, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shunko Magoshi
  • Patent number: 7368738
    Abstract: In a pattern definition device for use in a particle-beam exposure apparatus a plurality of blanking openings (910) are arranged within a pattern definition field (bf) composed of a plurality of staggered lines (bl) of blanking openings, each provided with a deflection means controllable by a blanking signal (911); for the lines of blanking openings, according to a partition of the blanking openings of a line into several groups (g4,g5,g6), the deflection means of the blanking openings of each group are fed a common group blanking signal (911), and the group blanking signal of each group of a line is fed to the blanking means and connected to the respective blanking openings independently of the group blanking signals of the other groups of the same line.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: May 6, 2008
    Assignee: Carl Zeiss SMS GmbH
    Inventor: Elmar Platzgummer
  • Patent number: 7361909
    Abstract: A method and apparatus for correcting drift of the beam irradiation position during automated FIB (focused ion beam) processing with a reference image-setting unit, an image read-in unit for reading in images of the reference image region during the FIB processing, an arithmetic-and-control unit for finding the direction and amount of image deviation between the subsequent images, and a beam deflection system-adjusting unit for correcting the beam deflection system by correcting the image deviation based on the deviation in response to the output from the arithmetic-and-control unit. The arithmetic-and-control unit optimizes the brightness or contrast of the reference image.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: April 22, 2008
    Assignee: Jeol Ltd.
    Inventors: Ryoichi Ichikawa, Akihiko Haraguchi, Yuji Hasegawa, Naohito Inoue, Kazutomo Shimizu
  • Patent number: 7358512
    Abstract: One embodiment relates to a dynamic pattern generator for controllably reflecting charged particles. The generator includes at least a controllable light emitter array, an optical lens, and an array of light-sensitive devices. The controllable light emitter array is configured to emit a pattern of light. The optical lens is configured to demagnify the pattern of light. The array of light-sensitive devices is configured to receive the demagnified pattern of light and to produce a corresponding pattern of surface voltages. Other embodiments and features are also disclosed.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: April 15, 2008
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Vincenzo Lordi
  • Patent number: 7351987
    Abstract: An irradiation system comprises a beam generation source, a mass analysis device, a beam transformer, a deflector for scanning which swings the beam reciprocally, a beam parallelizing device, an acceleration/deceleration device, and an energy filtering device. According to this invention, a hybrid angular energy filter generating both electric and magnetic fields to bend trajectories is provided as the energy filtering device. A pair of multi-surface energy slit units each having a plurality of energy slits that are switchable therebetween depending on an ion species for irradiation are further provided on a downstream side of the hybrid angular energy filter. It is possible to selectively irradiate a target wafer with high-current beams from low energy to high energy in the conditions where contamination such as neutral particles, different kinds of dopants, ions with different energies, metal, and dust particles is extremely small in amount.
    Type: Grant
    Filed: August 12, 2005
    Date of Patent: April 1, 2008
    Assignee: SEN Corporation, An Shi and Axcelis Company
    Inventors: Mitsuaki Kabasawa, Mitsukuni Tsukihara, Hiroshi Sogabe
  • Patent number: 7351984
    Abstract: A method and apparatus satisfying growing demands for improving the precision of angle of incidence of implanting ions that impact a semiconductor wafer and the precision of ribbon ion beams for uniform doping of wafers as they pass under an ion beam. The method and apparatus are directed to the design and combination together of novel magnetic ion-optical transport elements for implantation purposes. The design of the optical elements makes possible: (1) Broad-range adjustment of the width of a ribbon beam at the work piece; (2) Correction of inaccuracies in the intensity distribution across the width of a ribbon beam; (3) Independent steering about both X and Y axes; (4) Angle of incidence correction at the work piece; and (5) Approximate compensation for the beam expansion effects arising from space charge. In a practical situation, combinations of the elements allow ribbon beam expansion between source and work piece to 350 millimeter, with good uniformity and angular accuracy.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: April 1, 2008
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Kenneth H. Purser, Harald A. Enge, Norman L. Turner
  • Publication number: 20080054196
    Abstract: This VSB lithography system includes a first, second and third aperture for forming a single electron beam in each of the rectangular opening portion that are provided, and draws a figure pattern using the single electron beam formed by passing the beam through the first, second and third aperture in sequence. Each of the first, second and third aperture has a mechanism for rotationally driving the aperture around an optical axis up to an arbitrary angle from 0 to 360°. Further, in the third aperture, a mechanism for varying the opening slit width of the rectangular opening portion is provided.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 6, 2008
    Applicant: Elpida Memory, Inc.
    Inventor: Masahito Hiroshima
  • Patent number: 7326943
    Abstract: It is made possible to prevent the color aberration at the lenses from increasing and prevent the current distribution on the sample surface from changing at the time of the blanking operation. A deflector is placed between the first and second shaping apertures. A first crossover image is formed between the first and second shaping apertures. A second crossover image is formed in the vicinity of the beam blanking aperture. The deflector placed between the first shaping aperture and the second shaping aperture includes at least two deflectors. A beam position on the beam blanking aperture is moved without moving the image of the first shaping aperture on the second shaping aperture, by deflecting the electron beam at the time of blanking.
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: February 5, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Munehiro Ogasawara
  • Patent number: 7326940
    Abstract: An exposure method and a semiconductor device production method that control a rise in temperature of a mask irradiated by a charged particle beam. A displacement of the position of a pattern accompanying with the rise in temperature of the mask and the pattern are projected on an exposed object with a high accuracy. After an electron beam scans one scan line, scan lines are jumped by over a number of scan lines and the electron beam scans the next scan line. Since the number of the overjumped lines is a set number that control the temperature rise of a membrane by overlapping of the electron beam, the temperature rise is controlled by an interlaced-scanning. After one interlaced-scanning, similar to the above the scan lines are jumped over by the predetermined number of scan lines and the electron beam scans the next scan lines.
    Type: Grant
    Filed: December 3, 2004
    Date of Patent: February 5, 2008
    Assignee: Sony Corporation
    Inventor: Shigeru Moriya
  • Patent number: 7304320
    Abstract: A charged beam exposure apparatus includes: a first shaping aperture provided with a plurality of rectangular openings which are different from each other in at least one of area and shape thereof; a second shaping aperture provided with a pattern having a shape corresponding to that of a pattern to be drawn onto a substrate; a charged beam generator which generates a charged beam to apply the charged beam to the first shaping aperture; a projector which projects the charged beam that has passed through an arbitrary opening of the first shaping aperture onto the second shaping aperture; a deflection unit provided between the charged beam generator and the projector to deflect the charged beam; a deflection controller which controls the deflection unit so that the opening of the first shaping aperture is selected which enables adjustments of a drawing time and a resolution conforming to requirements/specifications of the pattern to be drawn; and a demagnification projector which projects the charged beam that
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: December 4, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Osamu Nagano
  • Patent number: 7301156
    Abstract: A method and apparatus satisfying growing demands for improving the precision of angle of incidence of implanting ions that impact a semiconductor wafer and the precision of ribbon ion beams for uniform doping of wafers as they pass under an ion beam. The method and apparatus are directed to the design and combination together of novel magnetic ion-optical transport elements for implantation purposes. The design of the optical elements makes possible: (1) Broad-range adjustment of the width of a ribbon beam at the work piece; (2) Correction of inaccuracies in the intensity distribution across the width of a ribbon beam; (3) Independent steering about both X and Y axes; (4) Angle of incidence correction at the work piece; and (5) Approximate compensation for the beam expansion effects arising from space charge. In a practical situation, combinations of the elements allow ribbon beam expansion between source and work piece to 350 millimeter, with good uniformity and angular accuracy.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: November 27, 2007
    Inventors: Kenneth H. Purser, Harald A. Enge, Norman L. Turner
  • Patent number: 7301161
    Abstract: A method of producing electron beam writing data in which a figure cell contained in the cell-based device pattern in electron beam lithography of character projection scheme is extracted as a character pattern is disclosed. The method comprises removing an overlap of pattern data included in the figure cell, producing a character pattern cutting frame from a cell allocation frame in the figure cell, assigning a figure inside of the produced character pattern cutting frame to a pattern to be shot in a character projection scheme as a character pattern, defining a figure outside of the character pattern cutting frame as a non-character pattern, removing an overlap between an adjacent pattern and the non-character pattern, and assigning a portion of the non-character pattern, which is not overlapped on the adjacent pattern to a pattern to be shot in a variable shaping beam scheme.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: November 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ryoichi Inanami
  • Patent number: 7301159
    Abstract: A focused ion beam apparatus having two pieces of probers brought into contact with two points of a surface of a sample, a voltage source for applying a constant voltage between the two points with which the probers are brought into contact, and an ammeter for measuring a current flowing between the two points, in which a conductive film is formed to narrow a gap thereof between the two points by operating a deflection electrode and a gas gun and the current flowing between the two points is monitored, and when the current becomes a predetermined value, a focused charged particle beam irradiated to the surface of the sample is made OFF by the blanking electrode.
    Type: Grant
    Filed: August 3, 2005
    Date of Patent: November 27, 2007
    Assignee: Riken & SII NanoTechnology Inc.
    Inventors: Toshiaki Fujii, Masao Abe, Kunji Shigeto, Minuru Kawamura, Alekber Yu Kasumov, Kazuhito Tsukagoshi, Yoshinobu Aoyagi
  • Patent number: 7287240
    Abstract: A planar pattern (11), having a plurality of apertures of the same size (Wx×Wy), is determined by a two-dimensional layout determination tool (10), and a three-dimensional structure, having a depth d and an undercut amount Uc for making the phase of the transmitted light be shifted by 180 degrees with every even-numbered aperture, is determined by a three-dimensional structure determination tool (20). Simulation of transmitted light is executed for a structural body having the planar pattern (11) and the three-dimensional structure (21) by a three-dimensional simulator (30) to determine the light intensity deviation D of transmitted light for an odd-numbered aperture without a trench and an even-numbered aperture with a trench. At a two-dimensional simulator (40), simulations using a two-dimensional model prepared based on this deviation D are performed to determine a correction amount ? for making the deviation D zero and obtain a new planar pattern (12).
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: October 23, 2007
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Nobuhito Toyama, Yasutaka Morikawa, Kei Mesuda
  • Patent number: 7282725
    Abstract: A manufacturing apparatus of a semiconductor device is disclosed, which comprises an implantation source which applies particles or an electromagnetic wave into an implantation region of a semiconductor substrate in a ? direction shifted by an angle ? from a vertical direction of the semiconductor substrate, a first stencil mask disposed between the semiconductor substrate and the implantation source, the first stencil mask having a first opening corresponding in the ? direction to the implantation region, and a second stencil mask disposed between the first stencil mask and the implantation source, the second stencil mask having a second opening corresponding in the ? direction to the implantation region.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: October 16, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takeshi Shibata, Hisanori Misawa
  • Patent number: 7279687
    Abstract: A technique for implementing a variable aperture lens in an ion implanter is disclosed. In one particular exemplary embodiment, the technique may be realized as a variable aperture lens. The variable aperture lens may comprise a first electrode element. The variable aperture lens may also comprise a second electrode element. The variable aperture lens may further comprise a driver assembly coupled to at least one of the first and the second electrode elements, wherein the driver assembly alters an aperture between the first and the second electrode elements based on a geometry of an ion beam.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: October 9, 2007
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Gordon C. Angel, Svetlana B. Radovanov, Edward D. Macintosh
  • Publication number: 20070228525
    Abstract: A substrate earthing mechanism includes a plate-like spring extending toward a substrate in a direction parallel to a surface of the substrate, and a contact portion coupled to a tip end of the plate-like spring for coming into contact with the substrate to thereby provide electrical conduction therebetween. The plate spring and the contact portion are laid out so that a contact position at which the contact portion is in contact with the substrate and a layout position of the plate-like spring are substantially the same in position as each other in a direction at right angles to the substrate surface in the state that the plate spring is out of its elastic deformation.
    Type: Application
    Filed: March 28, 2007
    Publication date: October 4, 2007
    Applicant: NuFlare Technology, Inc.
    Inventors: Yoshiro Yamanaka, Hideo Saito, Mitsunori Hayashi
  • Publication number: 20070228297
    Abstract: A charged beam drawing apparatus deflects, by an electrostatic deflector, a charged beam generated from a charged beam source, and applies the charged beam to a desired position on a sample to draw a pattern. The electrostatic deflector includes a plurality of deflecting electrodes arranged symmetrically with respect to a point around an optical axis of the charged beam, a ground external cylinder which is disposed coaxially with the optical axis and which is provided to enclose the deflecting electrodes, a resistive film provided on an inner surface of the ground external cylinder, and a conductive film provided on a surface of the resistive film. A capacitance is formed between the deflecting electrodes and the conductive film, and a resistance is formed between the ground conductor and the conductive film.
    Type: Application
    Filed: February 27, 2007
    Publication date: October 4, 2007
    Inventor: Munehiro Ogasawara
  • Patent number: 7276714
    Abstract: In a pattern definition device for use in a particle-beam processing apparatus a plurality of apertures (21) are arranged within a pattern definition field (pf) wherein the positions of the apertures (21) in the pattern definition field (pf) taken with respect to a direction (X, Y) perpendicular, or parallel, to the scanning direction are offset to each other by not only multiple integers of the effective width (w) of an aperture taken along said direction, but also multiple integers of an integer fraction of said effective width. The pattern definition field (pf) may be segmented into several domains (D) composed of a many staggered lines (pl) of apertures; along the direction perpendicular to the scanning direction, the apertures of a domain are offset to each other by multiple integers of the effective width (w), whereas the offsets of apertures of different domains are integer fractions of that width.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: October 2, 2007
    Assignee: IMS Nanofabrication GmbH
    Inventors: Elmar Platzgummer, Stefan Cernusca
  • Patent number: 7274029
    Abstract: A projection system comprises an array of lenses MLA, each lens transmitting a unique part of a patterned beam. Measuring devices measure a distance between the array of lenses MLA and a substrate W. A controller controls an actuator to adjust a position (e.g., height and/or tilt) of the microlens array MLA.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: September 25, 2007
    Assignee: ASML Netherlands B.V.
    Inventors: Joeri Lof, Joannes Theodoor De Smit
  • Patent number: 7271400
    Abstract: A high-perveance steady state deuterium ion gun was developed using a magnetic-index resonator in an Inductive Coupling Radio Frequency (ICRF) configuration. This approach made it feasible to generate an ion beam within millimeter dimensions extracted by negative potential placed at several centimeters from the exit of the ion source. The ion gun allows high extraction efficiency and low beam divergence as compared to other approaches.
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: September 18, 2007
    Inventors: Yasser R. Shaban, George H. Miley
  • Patent number: 7268357
    Abstract: Disclosed is an immersion lithography system comprising a liquid crystal media. The liquid crystal is positioned between an objective lens and a substrate stage. A liquid crystal controller having a first electrode and a second electrode is configured to control the liquid crystal during an exposure process.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: September 11, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Hsiang Lin, Jen-Chien Shih
  • Patent number: 7268358
    Abstract: Methods of optimizing a laser-accelerated proton radiation dose to a targeted region are disclosed. Disclosed methods include providing a plurality of modulated polyenergetic proton beamlets and irradiating the targeted region with the plurality of modulated beamlets.
    Type: Grant
    Filed: June 1, 2006
    Date of Patent: September 11, 2007
    Assignee: Fox Chase Cancer Center
    Inventors: Chang-Ming Ma, Eugene S. Fourkal
  • Patent number: 7265382
    Abstract: A method and apparatus for processing a semiconductor wafer is provided for reducing dimensional variation by feeding forward information relating to photoresist mask CD and profile and underlying layer thickness measured at several points on the wafer to adjust the next process the inspected wafer will undergo (e.g., the etch process). After the processing step, dimensions of a structure formed by the process, such as the CD and depth of a trench formed by the process, are measured at several points on the wafer, and this information is fed back to the process tool to adjust the process for the next wafer to further reduce dimensional variation. In certain embodiments, the CD, profile, thickness and depth measurements, etch processing and post-etch cleaning are performed at a single module in a controlled environment.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: September 4, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Dimitris Lymberopoulos, Gary Hsueh, Sukesh Mohan
  • Patent number: 7253424
    Abstract: An implanter provides two-dimensional scanning of a substrate relative to an implant beam so that the beam draws a raster of scan lines on the substrate. The beam current is measured at turnaround points off the substrate and the current value is used to control the subsequent fast scan speed so as to compensate for the effect of any variation in beam current on dose uniformity in the slow scan direction. The scanning may produce a raster of non-intersecting uniformly spaced parallel scan lines and the spacing between the lines is selected to ensure appropriate dose uniformity.
    Type: Grant
    Filed: May 4, 2006
    Date of Patent: August 7, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Adrian Murrell, Bernard Harrison, Peter Ivor Tudor Edwards, Peter Kindersley, Craig Lowrie, Peter Michael Banks, Takao Sakase, Marvin Farley, Shu Satoh, Geoffrey Ryding
  • Patent number: 7239370
    Abstract: In a projection system a pattern plane on a reticle is illuminated to have a projection image focussed on an image plane. The presence of a pellicle in the optical path causes a virtual shift of the position of the pattern plane on the reticle. Depending on the presence or absence of the pellicle the image plane of the projection image needs to be adapted for proper focussing. A compensator counteracts the virtual shift of pattern plane due to the pellicle, by shifting the position of the pattern plane.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: July 3, 2007
    Assignee: ASML Netherlands B.V.
    Inventors: Albert Johannes Maria Jansen, Marcel Koenraad Marie Baggen, Johannes Christiaan Maria Jasper, Raymond Laurentius Johannes Schrijver, Richard Joseph Bruls, Johannes Jacobus Matheus Baselmans, Willem Richard Pongers, Tammo Uitterdijk
  • Patent number: 7235799
    Abstract: An electron beam apparatus having a longer life time of cathode, and allowing a plurality of electron beams to be arranged adequately around an optical axis and five or more electron beams to be formed from a single electron gun. The electron beams emitted from a cathode made of ZrO/W (tungsten zirconium oxide) or a cathode made of carbide of transition metal to the off-optical axis directions may be converged on a sample to scan it. The apparatus includes a plate for reducing a vacuum conductance defined between the electron gun chamber side and the sample side, and apertures are formed through the plate at locations offset from the optical axis allowing for the passage of the electron beams. In order to evaluate a pattern on the sample, the electron beam emitted from the electron gun is incident to the sample surface via an objective lens.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: June 26, 2007
    Assignee: EBARA Corporation
    Inventors: Mamoru Nakasuji, Tohru Satake, Takao Kato, Nobuharu Noji
  • Patent number: 7235795
    Abstract: A particle monitor in the process chamber of a semiconductor device manufacturing apparatus provides a measure of a flux of contaminant particles in the chamber. The flux is measured whilst process conditions are produced in the process chamber and a process parameter is adjusted in response to the measured flux in order to reduce this flux during the process. In an ion implanter, the particle sensor measures the flux of particles entrained with the ion beam at a location in front of the wafer being processed.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: June 26, 2007
    Assignee: Applied Materials, Inc.
    Inventor: Jonathon Yancey Simmons
  • Patent number: 7230252
    Abstract: An aberration adjusting method of a charged particle beam optical system. The method includes an aberration measuring step of measuring N aberrations of the charged particle beam optical system, an aberration sensitivity acquiring step of changing M control amounts to control optical elements included in the charged particle beam optical system, obtaining variations of the N aberrations by executing the aberration measuring step, and obtaining aberration sensitivities of the M control amounts. The method further includes a control amount deciding step of deciding the M control amounts on the basis of the N aberrations and the aberration sensitivities of the M control amounts to set the N aberrations to target aberrations. The aberration is a displacement of each image height of charged particles beams. The control amount is an amount for controlling a position of the charged particle beams, and M<N, in which M and N are positive integers.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: June 12, 2007
    Assignees: Canon Kabushiki Kaisha, Hitachi High-Technologies Corporation
    Inventors: Masato Muraki, Hiroya Ohta
  • Patent number: 7214951
    Abstract: A charged-particle multi-beam exposure apparatus (1) for exposure of a target (41) uses a plurality of beams of electrically charged particles, which propagate along parallel beam paths towards the target (41). For each particle beam an illumination system (10), a pattern definition means (20) and a projection optics system (30) are provided. The illuminating system (10) and/or the projection optics system (30) comprise particle-optical lenses having lens elements (L1, L2, L3, L4, L5) common to more than one particle beam. The pattern definition means (20) defines a multitude of beamlets in the respective particle beam, forming its shape into a desired pattern which is projected onto the target (41), by allowing it to pass only through a plurality of apertures defining the shape of beamlets permeating said apertures, and further comprises a blanking means to switch off the passage of selected beamlets from the respective paths of the beamlets.
    Type: Grant
    Filed: October 20, 2004
    Date of Patent: May 8, 2007
    Assignee: IMS Nanofabrication GmbH
    Inventors: Gerhard Stengl, Elmar Platzgummer, Hans Loschner
  • Patent number: 7205557
    Abstract: A variable rectangle-type electron beam exposure apparatus for forming rectangular beams of different angles which is capable of highly finely conducting exposure with respect to a predetermined fine line pattern having an arbitrary angle in the pattern region.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: April 17, 2007
    Assignee: Fujitsu Limited
    Inventors: Masaaki Miyajima, Yutaka Nakamura, Hiromi Hoshino
  • Patent number: 7205558
    Abstract: Disclosed are an electron beam irradiation apparatus and an electron beam irradiation method that are capable of easily curing a material that is hard to be cured by irradiation of ultraviolet rays and of reducing the number of electron beam irradiation tubes. The electron beam irradiation apparatus has a motor for rotationally driving an irradiation target object, a shield container for rotatably accommodating the irradiation target object, and an electron beam irradiation unit provided in the shield container so that the surface of the irradiation target object is irradiated with electron beams, wherein the electron beam irradiation unit and the irradiation target object are relatively moved when the electron beam irradiation unit irradiates the surface of the irradiation target object with the electron beams during a rotation of the irradiation target object.
    Type: Grant
    Filed: January 20, 2006
    Date of Patent: April 17, 2007
    Assignee: TDK Corporation
    Inventors: Kenji Yoneyama, Kazushi Tanaka, Mamoru Usami
  • Patent number: 7202488
    Abstract: A method of correcting deflection distortion includes dividing a deflection area to which a charged-particle beam is deflected into equal initial blocks as an initial setting, calculating an initial aberration amount for each of the initial blocks generated when the charged-particle beam is deflected, dividing the deflection area into main blocks in accordance with a change rate of the initial aberration amount; calculating a main aberration amount for each of the main blocks generated when the charged-particle beam is deflected, and calculating a correction value correcting a deflection distortion based on the main aberration amount.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: April 10, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takumi Ota, Tetsuro Nakasugi
  • Patent number: 7199383
    Abstract: A method for reducing particles during ion implantation is provided. The method involves the use of an improved Faraday flag including a beam plate having thereon a beam striking zone comprising a recessed trench pattern on which the ion beam scans to and fro. An ion beam selected from the mass analyzer is blocked by the Faraday flag in a closed position between the mass analyzer and the semiconductor wafer. A beam current of the ion beam impinging on the beam striking zone of the beam plate is measured. After the beam current measurement, the Faraday flag is removed such that the ion beam impinges on the semiconductor wafer.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: April 3, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Jui-Fang Chen, Cheng-Hung Chang, Chung-Shih Shen, Chung-Jung Chen
  • Patent number: 7195936
    Abstract: In a thin film processing method and system, a film thickness is regulated by using electron beams irradiated from a plurality of electron beam tubes onto a film of varying thickness formed on an object to be processed, wherein the output powers or beam irradiation times of the electron beam tubes are individually controlled according to a distribution of the thickness. In the method and system, electric charges charged in a film of an object to be processed can be removed also.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: March 27, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Tadashi Onishi, Manabu Hama, Minoru Honda, Kazuyuki Mitsuoka, Mitsuaki Iwashita
  • Patent number: 7193227
    Abstract: A therapy system using an ion beam, which can shorten the time required for positioning a couch (patient). The therapy system using the ion beam comprises a rotating gantry provided with an ion beam delivery unit including an X-ray tube. An X-ray detecting device having a plurality of X-ray detectors can be moved in the direction of a rotation axis of the rotating gantry. A couch on which a patient is lying is moved until a tumor substantially reaches an extension of an ion beam path in the irradiating unit. The X-ray tube is positioned on the ion beam path and the X-ray detecting device is positioned on the extension of the ion beam path. With rotation of the rotating gantry, both the X-ray tube emitting an X-ray and the X-ray detecting device revolve around the patient. The X-ray is emitted to the patient and detected by the X-ray detectors after penetrating the patient. Tomographic information of the patient is formed based on signals outputted from the X-ray detectors.
    Type: Grant
    Filed: January 24, 2005
    Date of Patent: March 20, 2007
    Assignees: Hitachi, Ltd., Board of Regents, The University of Texas System
    Inventors: Kazuo Hiramoto, Hiroshi Akiyama, Yoshihiko Nagamine, Alfred Smith, Wayne Newhauser
  • Patent number: 7189981
    Abstract: A method for projecting a predetermined pattern of an electron beam from an emitter to a wafer in a vacuum chamber of an electron-beam lithography system is provided. An initial condition for performing an electromagnetic focusing is first set and outspread phenomenon of the electron beam, which is caused by an initial emitting velocity difference and an initial emitting angle difference between electrons emitted from the emitter, is corrected. Then, a shift of the electron beam, which is caused when an electric field is not in parallel with a magnetic field, is corrected and a shift of the electron beam, which is caused by a gradient of the magnetic field, is corrected, after which an increase of a beam diameter of the electron beam, which is caused by Coulomb-interaction between the electrons emitted from the emitter, is corrected. Then, it is determined if a focusing error is within a range of an allowable error.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: March 13, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-wook Moon, Sidorkin Vadim, Chang-hoon Choi
  • Patent number: 7189982
    Abstract: In an aperture for use in an ion beam optical system having its surface coated with a liquid metal, instability of an ion source attributable to sputtering and re-deposition of an aperture base material is prevented. A focused ion beam apparatus using a liquid metal ion source has an aperture for limiting an ion beam diameter. The aperture has a vessel formed with a recess having, at its surface lowermost point, an aperture hole through which the ion beam passes and a liquid metal mounted on the recess, the liquid metal being used for the liquid metal ion source. Preferably, the aperture may be minimized in area of aperture entrance hole inner surface which exposes the base material by tapering an aperture hole portion, by which the ion beam passes, on the downstream side.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: March 13, 2007
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuichi Madokoro, Shigeru Izawa, Kaoru Umemura, Hiroyasu Kaga
  • Patent number: 7173262
    Abstract: A charged particle beam exposure apparatus for writing a desired pattern on a substrate using a charged particle beam. The apparatus includes a blanking unit, having a deflector capable of deflecting the charged particle beam in at least two directions, configured to control beam passage to the substrate by deflecting the charged particle beam, and a setting unit configured to set a deflection direction of the charged particle beam by the deflector.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: February 6, 2007
    Assignees: Canon Kabushiki Kaisha, Hitachi High-Technologies Corporation
    Inventors: Masaki Hosoda, Masato Muraki, Osamu Kamimura
  • Patent number: 7154108
    Abstract: A particle therapy system capable of measuring energy of a charged particle beam even during irradiation of the charged particle beam is provided. A beam delivery (irradiation) system comprises a block collimator constituted by a pair of collimator members, and an energy detector mounted to one of the collimator members to be disposed on the upstream side thereof. When the pair of collimator members are moved in directions away from each other, a beam passage is formed between them. The energy detector constitutes an energy measuring device together with a signal processing unit. A part of the ion beam having reached the interior of the irradiation nozzle is irradiated to a patient through the beam passage. When a part of the remaining ion beam enters the energy detector, electric charges generate in the energy detector. The signal processing unit determines energy of the ion beam based on a position within the energy detector at which electric charges have generated in maximum amount.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: December 26, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Masahiro Tadokoro, Shunji Kakiuchi, Hiroshi Akiyama, Mamoru Katane, Koji Matsuda
  • Patent number: 7138641
    Abstract: A beam deflector for scanning performs deflecting of a charged particle beam having a regular trajectory in a vacuum space to thereby periodically change the trajectory of the charged particle beam. The beam deflector comprises a pair of deflection electrodes disposed so as to confront each inner electrode surface having a symmetrical concave extending in a direction of a beam trajectory.
    Type: Grant
    Filed: May 31, 2005
    Date of Patent: November 21, 2006
    Assignee: Sumitomo Eaton Nova Corporation
    Inventors: Hiroshi Matsushita, Mitsuaki Kabasawa, Yoshitaka Amano, Yasuhiko Kimura, Mitsukuni Tsukihara, Junichi Murakami
  • Patent number: 7126141
    Abstract: A charged-particle beam exposure apparatus includes a charged-particle beam source for emitting a charged-particle beam, an electrooptic system array which has a plurality of electron lenses and forms a plurality of intermediate images of the charged-particle beam source by the plurality of electron lenses, and a projection electrooptic system for projecting on a substrate the plurality of intermediate images formed by the electrooptic system array. The electrooptic system array includes at least two electrodes arranged along paths of a plurality of charged-particle beams, each of the at least two electrodes having a plurality of apertures on the paths of the plurality of charged-particle beams, and a shield electrode which is interposed between the at least two electrodes and has a plurality of shields corresponding to the respective paths of the plurality of charged-particle beams.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: October 24, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventors: Haruhito Ono, Masato Muraki
  • Patent number: 7126137
    Abstract: This invention relates to an Ilumination system for scanning lithography especially for wavelengths?193 nm, particularly EUV lithography, for the illumination of a slit, comprising at least one field mirror or at least one field lens and being characterized in that at least one of the field mirror(s) or the field lens(es) has (have) an aspheric shape.
    Type: Grant
    Filed: April 20, 2004
    Date of Patent: October 24, 2006
    Assignee: Carl Zeiss SMT AG
    Inventors: Wolfgang Singer, Joachim Hainz, Hans-Joachim Frasch, Johannes Wangler, Joachim Wietzorrek, Jörg Schultz
  • Patent number: 7126139
    Abstract: A device and a method for positionally accurate implantation of individual particles in a substrate surface (1a) are described. A diaphragm for a particle beam to be directed onto the substrate surface (1a) and a detector provided thereon in the form of a p-n junction for determining a secondary electron flow produced upon impact of a particle onto the substrate surface (1a) are provided on a tip (4) which is formed on a free end portion of a flexible arm (2) to be mounted on one side. The device is part of a scanning device operating according to the AFM method.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: October 24, 2006
    Assignee: The Regents of the University of California
    Inventors: Thomas Schenkel, Ivo W. Rangelow, Jan Meijer
  • Patent number: 7119348
    Abstract: A charged beam writing apparatus is disclosed, which comprises a shaped beam forming unit which shapes a charged beam radiated from a charged beam source into a beam of a desired shape by using at least two shaping masks each having a shaping aperture to form a shaped beam, a figure dividing unit which divides a contour portion of a pattern to be formed on an object layer into a plurality of figure portions having a predetermined area, a writing unit which writes the figure portions of the contour portion by using a shaped beam of the predetermined area, and a focus control unit which performs focusing of the beam radiated from the charged beam source, by using a shaped beam having the same area as that of the shaped beam which has the predetermined area and is used in writing of the figure portions of the contour portion.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: October 10, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masato Saito
  • Patent number: 7112810
    Abstract: In an ion implanting apparatus and an ion implanting method using the same, the ion implanting apparatus includes a disk chamber containing a rotatable disk, a wafer mounted on the rotatable disk, and a charge sensor for monitoring a charged state of the wafer, the charge sensor being fixed to the disk chamber to be adjacent to and facing a surface of the wafer. An output of the charge sensor may be used as feedback to control the charged state of the wafer.
    Type: Grant
    Filed: October 15, 2004
    Date of Patent: September 26, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyeong-Su Keum, Seung-Ki Chae, Hyung-Sik Hong, Sang-Yeob Cha, Jae-Hyun Han, Tae-Sub Im, Hyun-Kyu Kang, Gil-Jung Yun, Doo-Guen Song