Variable Beam Patents (Class 250/492.23)
  • Patent number: 7633074
    Abstract: A charged particle beam apparatus with a charged particle beam source including an emitter with an emitter tip and a supporting member for supporting the emitter is provided. Further, the apparatus includes an emitter location measuring device for repeatedly measuring the location of the emitter and a deflector system for compensating variations in the location of the emitter.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: December 15, 2009
    Assignee: ICT, Integrated Circuit Testing Gesellschaft fur Halbleiterpruftechnik mbH
    Inventor: Jürgen Frosien
  • Patent number: 7622724
    Abstract: A high voltage insulator for preventing instability in an ion implanter due to triple junction breakdown is described. In one embodiment, there is an apparatus for preventing triple junction instability in an ion implanter. In this embodiment, there is a first metal electrode and a second metal electrode. An insulator is disposed between the first metal electrode and the second metal electrode. The insulator has at least one surface between the first metal electrode and the second metal electrode that is exposed to a vacuum that transports an ion beam generated by the ion implanter. A first conductive layer is located between the first metal electrode and the insulator. The first conductive layer prevents triple junction breakdown from occurring at an interface of the first electrode, insulator and vacuum. A second conductive layer is located between the second metal electrode and the insulator opposite the first conductive layer.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: November 24, 2009
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Shengwu Chang, Frank Sinclair
  • Patent number: 7598499
    Abstract: In a particle-beam projection processing apparatus a target (41) is irradiated by means of a beam (pb) of energetic electrically charged particles, using a projection system (103) to image a pattern presented in a pattern definition means (102) onto the target (41) held at position by means of a target stage; no elements—other than the target itself—obstruct the path of the beam after the optical elements of the projection system. In order to reduce contaminations from the target space into the projection system, a protective diaphragm (15) is provided between the projection system and the target stage, having a central aperture surrounding the path of the patterned beam, wherein at least the portions of the diaphragm defining the central aperture are located within a field-free space after the projection system (103).
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: October 6, 2009
    Assignee: IMS Nanofabrications AG
    Inventor: Elmar Platzgummer
  • Patent number: 7598504
    Abstract: A writing error diagnosis method for a charged beam photolithography apparatus and a charged beam photolithography apparatus which can specify an error cause within a short period of time in occurrence of a pattern writing error are provided. The writing error diagnosis method for a charged beam photolithography apparatus is a writing error diagnosis method for a charged beam photolithography apparatus which irradiates a charged beam on a target object to write a desired pattern. Processing result data of a pattern writing circuit at a position where a pattern writing error occurs is collected after the pattern writing error occurs, and the collected processing result data of the pattern writing circuit is compared with correct data. The charged beam photolithography apparatus has means which realizes the diagnosis method.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: October 6, 2009
    Assignee: NuFlare Technology, Inc.
    Inventors: Hayato Kimura, Yujin Handa, Seiji Wake, Takuya Matsukawa, Seiichi Tsuchiya
  • Publication number: 20090246655
    Abstract: A Z stage is placed on an XY stage in avoidance of an area to which a mark table is fixed. The mask M is placed on a holding mechanism provided on the Z stage. A middle value of the range adjustable by the focal adjustment mechanism is made coincident with the height of the mark table. The height of the mark table is measured and the heights of plural measurement points of the mask M are measured. The Z stage is moved in such a manner that the height of a middle value between highest and lowest values of the heights of these measurement points coincides with the height of the mark table.
    Type: Application
    Filed: March 24, 2009
    Publication date: October 1, 2009
    Applicant: NuFlare Technology, Inc.
    Inventor: Takanao TOUYA
  • Patent number: 7592604
    Abstract: The present invention provides a charged particle beam apparatus capable of preventing the charging-up of the specimen without using a large-scale facility. A scanning electron microscope 100 illuminates a specimen 21 with a charged particle beam via a charged particle optical system arranged in a column. According to the present invention, the scanning electron microscope 100 has a charge preventive member 110 disposed between the objective lens 14 and the specimen 21. The charge preventive member 110 has an electrically conductive portion and an opening 113 to transmit the charged particle beam. The charge preventive member 110 is formed so as to partly cover the charged particle optical system when viewed from the charged particle beam irradiation spot on the specimen. In addition, the charge preventive member 110 has gas inflow paths 114 and 115 formed therein. These gas inflow paths have gas injection outlets 116 formed to inject gas toward the charged particle beam irradiation spot on the specimen.
    Type: Grant
    Filed: December 13, 2006
    Date of Patent: September 22, 2009
    Assignee: Topcon Corporation
    Inventors: Hirotami Koike, Shinichi Okada, Akira Higuchi, Masahiro Inoue, Masahiro Yamamoto, Sumio Sasaki
  • Patent number: 7588803
    Abstract: According to one embodiment of the invention, a method of modifying a mechanical, physical and/or electrical property of a dielectric layer comprises exposing the dielectric layer to a first dose of electron beam radiation at a first energy level; and thereafter, exposing the dielectric layer to a second dose of electron beam radiation at a second energy level that is different from the first energy level.
    Type: Grant
    Filed: February 1, 2005
    Date of Patent: September 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Alexandros T. Demos, Li-Qun Xia, Tzu-Fang Huang, Wen H. Zhu
  • Patent number: 7582884
    Abstract: When a space, sandwiched by large patterns having a predetermined size or more, is exposed using a charged particle beam, the space sandwiched by the large patterns is exposed using a common block mask having the space and edge portions of the large patterns on both sides of the space, and portions other than the edge portions of the large patterns on both sides are exposed by a variable rectangular beam or by using another block mask.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: September 1, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Yasushi Takahashi
  • Patent number: 7579606
    Abstract: A method for particle beam lithography, such as electron beam (EB) lithography, includes predefining a stencil design having a plurality of cell patterns with information from a cell library, fabricating the stencil design, synthesizing a functional description into a logic circuit design after predefining the stencil design so that one or more characteristics of the stencil design are considered during synthesizing of the functional description into the logic circuit design, optimizing the logic circuit design, generating a layout design from the optimized logic circuit design, and forming the logic circuit on a substrate according to the stencil design and the layout design.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: August 25, 2009
    Assignee: D2S, Inc.
    Inventors: Kenji Yoshida, Takashi Mitsuhashi, Shohei Matsushita, Akira Fujimura
  • Patent number: 7569842
    Abstract: First, electron beam exposure data identifiable for each type of pattern of a semiconductor device is inputted (S601). Then, electron beam exposure data on a first type of pattern is not corrected, while electron beam exposure data on a second type of pattern is corrected (S603). The first type of pattern is, for example, a dummy pattern having no influence on the function of the semiconductor device. The second type of pattern is for example, a normal pattern having an influence on the function of the semiconductor device.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: August 4, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Hiroshi Takita
  • Patent number: 7566888
    Abstract: A method and system of treating an interior surface on an internal cavity of a workpiece using a charged particle beam. A beam deflector surface of a beam deflector is placed within the internal cavity of the workpiece and is used to redirect the charged particle beam toward the interior surface to treat the interior surface.
    Type: Grant
    Filed: May 23, 2007
    Date of Patent: July 28, 2009
    Assignee: TEL Epion Inc.
    Inventor: David Richard Swenson
  • Patent number: 7566882
    Abstract: One embodiment pertains to a method of electron beam lithography. An illumination electron beam is formed, and a dynamic pattern generating device is used to generate an electron-reflective pattern of pixels and to reflect the illumination electron beam from said pattern so as to form a patterned electron beam. The patterned electron beam is projected onto a platter configured to hold and rotate a plurality of target substrates. Said generated pattern of pixels is shifted in correspondence with the rotation of the platter so that the patterned electron beam writes a swath path of pixels over the target substrates. Other features, aspects and embodiments are also disclosed.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: July 28, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Harald F. Hess
  • Patent number: 7564049
    Abstract: A pattern drawing system includes a beam irradiating mechanism which irradiates electrically charged beams on a film to be drawn, a coefficient calculating section which calculates a backward scattering coefficient relevant to a drawing pattern in the film to be drawn, based on an approximating function for approximating a relationship between a global coating rate of the drawing pattern and a backward scattering coefficient of the electrically charged beams in the film to be drawn, and based on the global coating rate of the drawing pattern, and an irradiation quantity calculating section which calculates an electrically charged beam irradiation quantity used for drawing the pattern using an electrically charged beams irradiating mechanism, based on the backward scattering coefficient of the pattern.
    Type: Grant
    Filed: May 16, 2006
    Date of Patent: July 21, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masato Saito
  • Patent number: 7528932
    Abstract: The present invention relates to an apparatus (100) for patterning a workpiece arranged at an image plane and sensitive to electromagnetic radiation, comprising a source emitting electromagnetic radiation onto an object plane and at least two spatial light modulators each comprising numerous of object pixels, adapted to receive said electromagnetic radiation at said object plane and to relay said electromagnetic radiation toward said workpiece, wherein said electromagnetic radiation is split into at least two beams, which beams will impinge on different spatial light modulators, by a beam splitting device arranged at an optical plane between said spatial light modulators and an illuminator pupil or a conjugate optical plane. The invention also relates to a method for patterning a workpiece with a plurality of spatial light modulators.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: May 5, 2009
    Assignee: Micronic Laser Systems AB
    Inventor: Tomas Lock
  • Patent number: 7528023
    Abstract: Laser beams emitted by a plurality of laser sources are divided into a plurality of sub-beams, which are irradiated onto selected portions of an amorphous semiconductor on a substrate to crystallize the amorphous semiconductor. A difference in diverging angles between the laser beams is corrected by a beam expander. The apparatus includes a sub-beam selective irradiating system including a sub-beam dividing assembly and a sub-beam focussing assembly. Also, the apparatus includes laser sources, a focussing optical system, and a combining optical system. A stage for supporting a substrate includes a plurality of first stage members, a second stage member disposed above the first stage members, and a third stage member 38C, rotatably disposed above the second stage to support an amorphous semiconductor.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: May 5, 2009
    Assignees: Sharp Kabushiki Kaisha, Japan Laser Corporation
    Inventors: Nobuo Sasaki, Koichi Ohki
  • Patent number: 7525103
    Abstract: A technique for improving uniformity of a ribbon beam is disclosed. In one particular exemplary embodiment, an apparatus may comprise a first corrector-bar assembly and a second corrector-bar assembly, wherein the second corrector-bar assembly is located at a predetermined distance from the first corrector-bar assembly. Each of a first plurality of coils in the first corrector-bar assembly may be individually excited to deflect at least one beamlet in the ribbon beam, thereby causing the beamlets to arrive at the second corrector-bar assembly in a desired spatial spread. Each of a second plurality of coils in the second corrector-bar assembly may be individually excited to further deflect one or more beamlets in the ribbon beam, thereby causing the beamlets to exit the second corrector-bar assembly at desired angles.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: April 28, 2009
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Kenneth H. Purser, Atul Gupta
  • Patent number: 7521688
    Abstract: A charged-particle beam instrument is offered which can cancel out deflection aberrations arising from a first deflector or oblique incidence on the surface of a workpiece without (i) increasing the electrode length, (ii) reducing the inside diameter of the electrode, or (iii) increasing the deflection voltage too much. The instrument has an electron source for producing an electron beam, a demagnifying lens for condensing the beam, an objective lens for focusing the condensed beam onto the surface of the workpiece, the first deflector located behind the demagnifying lens, and a second deflector located ahead of the demagnifying lens. The first deflector determines the beam position on the surface of the workpiece. The second deflector cancels out deflection aberrations arising from the first deflector.
    Type: Grant
    Filed: December 18, 2006
    Date of Patent: April 21, 2009
    Assignee: Jeol Ltd.
    Inventor: Kazuya Goto
  • Patent number: 7521700
    Abstract: A method for writing a master image on a substrate includes dividing the master image into a matrix of frames, each frame including an array of pixels defining a respective frame image in a respective frame position within the master image. An electron beam is scanned in a raster pattern over the substrate, while shaping the electron beam responsively to the respective frame image of each of the frames as the electron beam is scanned over the respective frame position, so that in each frame, the electron beam simultaneously writes a multiplicity of the pixels onto the substrate.
    Type: Grant
    Filed: July 17, 2006
    Date of Patent: April 21, 2009
    Assignee: Applied Materials, Israel, Ltd.
    Inventors: Meir Aloni, Mula Friedman, Jimmy Vishnipolsky, Gilad Almogy, Alon Litman, Yonatan Lehman, Doron Meshulach, Ehud Tirosh
  • Patent number: 7521697
    Abstract: A method for fabricating a semiconductor device and an equipment for fabricating the semiconductor device are described. According to the method and the equipment, a semiconductor substrate is irradiated with a particle beam through an opening formed in a thin film portion of a stencil mask having the thin film portion and a supporting portion supporting the thin film portion. The method and the equipment are controlled so that the supporting portion of the stencil mask can be irradiated with the fringe of the particle beam. As a result, the method and the equipment provide suppressing deterioration such as deformation or breakage of the stencil mask.
    Type: Grant
    Filed: August 7, 2007
    Date of Patent: April 21, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takeshi Shibata, Kyoichi Suguro
  • Patent number: 7504645
    Abstract: A method of forming pattern writing data to write a predetermined pattern from layout data of a circuit by using a charged particle beam while deflecting the charged particle beam, includes inputting the layout data including a pattern ranging over a plurality of deflection regions, generating a partial pattern which can be deflected in a self region in the ranging pattern for each of the plurality of deflection regions on the basis of the input layout data, and converting layout data including a partial pattern for each of the deflection regions into charged particle beam pattern writing data to output the charged particle beam pattern writing data.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: March 17, 2009
    Assignee: NuFlare Technology, Inc.
    Inventors: Akihito Anpo, Hitoshi Higurashi, Shigehiro Hara
  • Publication number: 20090057576
    Abstract: A writing method includes emitting a first charged particle beam formed to be a first shape by passing through a first shaping aperture and a second shaping aperture, onto a target workpiece; and emitting a second charged particle beam formed to be a second shape by passing through the first shaping aperture and the second shaping aperture, wherein the second charged particle beam is superimposed onto a same position exposed by the first charged particle beam and is formed by using an opposite sides of respective first and second shaping apertures to those used for the first shape.
    Type: Application
    Filed: August 18, 2008
    Publication date: March 5, 2009
    Applicant: NuFlare Technology, Inc.
    Inventors: Takayuki Abe, Tetsuo Yamaguchi, Fumio Hide
  • Publication number: 20090057577
    Abstract: A direct-write electron beam lithography system employing a patterned beam-defining aperture to enable the generation of high current-density shaped beams without the need for multiple beam-shaping apertures, lenses and deflectors is disclosed. Beam blanking is accomplished without the need for an intermediate crossover between the electron source and the wafer being patterned by means of a double-deflection blanker, which also facilitates proximity effect correction. A simple type of “moving lens” is utilized to eliminate off-axis aberrations in the shaped beam. A method for designing the patterned beam-defining aperture is also disclosed.
    Type: Application
    Filed: September 12, 2008
    Publication date: March 5, 2009
    Applicants: Tokyo Electron Limited, Multibeam Systems Inc.
    Inventor: N. William Parker
  • Publication number: 20090032739
    Abstract: Charged-particle beam lithography method and system. The lithography system has a map creation unit and a lithographic data creation unit. The map creation unit creates a proximity effect correction amount map from pattern data supplied from a pattern data file, pattern layout information, a foggy error correction amount map, loading effect correction amount maps, a process error correction amount map, a transfer error correction amount map, proximity effect correction parameters, and a proximity effect correction map. The lithographic data creation unit creates lithographic data based on the pattern data from the pattern data file, creates shot time data based on the proximity effect correction amount map from the map creation unit, and attaches the created shot time data to the lithographic data.
    Type: Application
    Filed: July 30, 2008
    Publication date: February 5, 2009
    Applicant: JEOL LTD.
    Inventor: Yuichi Kawase
  • Patent number: 7485879
    Abstract: A writing apparatus including a selector unit responsive to receipt of input data of a pattern to be written by shots of irradiation of an electron beam, configured to select a current density of the electron beam being shot and a maximal shot size thereof based on the input data of the pattern to be written; and a writing unit configured to create an electron beam with the current density selected by said selector unit, shape the created electron beam into a shot size less than or equal to said maximal shot size in units of the shots, and shoot the shaped electron beam onto a workpiece to thereby write said pattern.
    Type: Grant
    Filed: July 3, 2006
    Date of Patent: February 3, 2009
    Assignee: NuFlare Technology, Inc.
    Inventors: Hitoshi Sunaoshi, Shuichi Tamamushi
  • Publication number: 20090026912
    Abstract: Method and apparatus for achieving an intensity modulated electron blanker are disclosed. An apparatus includes a cathode exposed to an activation source to generate an electron beam. Cathode control circuitry adjusts a cathode control amplifier to regulate cathode voltage and the potential of the electron beam. In some approaches the electron beam potential is used to control the blanking frequency, switching speeds, and duty cycle. In another approach electron generating beams directed on to the cathode are modulated to control the electron beam.
    Type: Application
    Filed: July 26, 2007
    Publication date: January 29, 2009
    Inventors: Vincenzo Lordi, Kirkwood Rough, Xuefeng Liu, Shem-Tov Levi
  • Patent number: 7476880
    Abstract: A shaped particle beam writing strategy can be used to write a pattern with a particle beam onto a substrate. The pattern comprises a circuit design that is fractured into a plurality of arbitrary polygons. The writing strategy comprises transforming and fracturing the arbitrary polygons into a plurality of restricted polygons, each restricted polygon being represented by a location coordinate, at least two dimension coordinates, and at least one external edge indicator. Thereafter, the restricted polygons are tiled into a set of tiles comprising interior tiles and external edge tiles. Flash data is assigned for each tile such that the interior tiles are assigned a first flash area and the external edge tiles are assigned a second flash area that is smaller than the first flash area. The flash data is arranged in a selected order to write the pattern with a modulated particle beam, such as an electron beam, on a substrate.
    Type: Grant
    Filed: October 3, 2005
    Date of Patent: January 13, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Benyamin Buller, Richard L. Lozes, Robert M. Sills
  • Publication number: 20090008579
    Abstract: A current density distribution characteristic within a beam pattern on a target object can be improved by using a simple-structured electron optical system and a single patterned beam-defining aperture. With an aperture layout modified to be physically fabricable, a current density distribution within the beam pattern is obtained (S5). Then, a current density uniformity is determined by applying preset determination threshold values to the current density distribution within the beam pattern BP obtained as described above (S6), and if it is found not to fall within a tolerance range, tentative inner block portions are set in tentative electron ray passing areas (S7 and S8). Subsequently, by appropriately iterating steps S5 to S8 for the aperture layout modified or renewed by the tentative inner block portions as described above, the tentative electron ray passing areas and the tentative inner block portions, satisfying determination criteria, are decided (S8).
    Type: Application
    Filed: September 12, 2008
    Publication date: January 8, 2009
    Applicants: Tokyo Electron Limited, Multibeam Systems Inc.
    Inventors: Koji TAKEYA, Takashi FUSE, Tadashi KOTSUGI, N. William PARKER
  • Patent number: 7470920
    Abstract: A display of wavelength elements can be produced from resonant structures that emit light (and other electromagnetic radiation having a dominant frequency higher than that of microwave) when exposed to a beam of charged particles, such as electrons from an electron beam. An exemplary display with three wavelengths per pixel utilizes three resonant structures per pixel. The spacings and lengths of the fingers of the resonant structures control the light emitted from the wavelength elements. Alternatively, multiple resonant structures per wavelength can be used as well.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: December 30, 2008
    Assignee: Virgin Islands Microsystems, Inc.
    Inventors: Jonathan Gorrell, Mark Davidson, Michael E Maines
  • Patent number: 7462848
    Abstract: A direct-write electron beam lithography system employing a patterned beam-defining aperture to enable the generation of high current-density shaped beams without the need for multiple beam-shaping apertures, lenses and deflectors is disclosed. Beam blanking is accomplished without the need for an intermediate crossover between the electron source and the wafer being patterned by means of a double-deflection blanker, which also facilitates proximity effect correction. A simple type of “moving lens” is utilized to eliminate off-axis aberrations in the shaped beam. A method for designing the patterned beam-defining aperture is also disclosed.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: December 9, 2008
    Assignees: Multibeam Systems, Inc., Tokyo Electron Limited
    Inventor: N. William Parker
  • Patent number: 7459705
    Abstract: A charged particle beam exposure method is disclosed, which includes preparing an aperture mask having character apertures, correcting dimensions of designed patterns in design data in consideration of at least one of factors such as a forward scattering distance of a charged particle, a rearward scattering distance of the charged particle, a blurring of a beam of the charged particle, a dimension conversion difference of the designed patterns due to a denseness/coarseness difference of the designed patterns caused when the underlayer is processed while using the resist as a mask, and the like, allocating at least a part of a specified character aperture of the plurality of character apertures of the aperture mask to the corrected designed patterns to produce writing data, and exposing the resist to the beams of the charged particle passed through the at least a part of the specified character aperture based on the writing data.
    Type: Grant
    Filed: October 19, 2006
    Date of Patent: December 2, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsuro Nakasugi, Ryoichi Inanami, Takumi Ota, Takeshi Koshiba
  • Patent number: 7453075
    Abstract: A charged particle beam exposure apparatus for transferring a pattern onto a surface of a target, comprising a beam generator comprising a plurality of n changed particle sources, substantially in one plane, each source adapted for generating a charged particle beam, a first aperture array, comprising a plurality of groups of apertures, each group of apertures aligned with one source, for splitting each beam up into a plurality of beamlets m, thus resulting in a total of n×m beamlets, and a deflector array, comprising a plurality of groups of deflectors, each group of deflectors aligned with one source and one group of apertures, each deflector in a group aligned with an aperture of the corresponding group, and each group of deflectors operable for asserting a collimating influence on its corresponding beam.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: November 18, 2008
    Assignee: Mapper Lithography IP B.V.
    Inventor: Pieter Kruit
  • Patent number: 7449699
    Abstract: Methods and apparatus whereby an optical interferometer is utilized to monitor and provide feedback control to an integrated energetic particle column, to create desired topographies, including the depth, shape and/or roughness of features, at a surface of a specimen. Energetic particle columns can direct energetic species including, ions, photons and/or neutral particles to a surface to create features having in-plane dimensions on the order of 1 micron, and a height or depth on the order of 1 nanometer. Energetic processes can include subtractive processes such as sputtering, ablation, focused ion beam milling and, additive processes, such as energetic beam induced chemical vapor deposition. The integration of interferometric methods with processing by energetic species offers the ability to create desired topographies at surfaces, including planar and curved shapes.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: November 11, 2008
    Assignee: Sandia Corporation
    Inventors: David P. Adams, Michael B. Sinclair, Thomas M. Mayer, Michael J. Vasile, William C. Sweatt
  • Patent number: 7449700
    Abstract: An electron beam exposure apparatus has a first shaping aperture having a plurality of rectangular openings, each having sizes different from each other and shaping a beam shape of an electron beam, a rectangular opening selection deflector which controls a path of the electron beam to irradiate the electron beam on one of the plurality of rectangular openings, a second shaping aperture having a plurality of character openings, each having sizes different from each other and shaping a beam shape of the electron beam passing through the first shaping aperture, and a character beam deflector which controls the path of the electron beam to irradiate the electron beam on character openings corresponding to the rectangular openings in the first shaping aperture.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: November 11, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ryoichi Inanami
  • Patent number: 7442947
    Abstract: A multicolumn electron-beam exposure system includes: a plurality of column cells, which are arranged above a wafer, and each of which includes an electron gun and deflection means which deflects an electron beam irradiated from the electron gun; common storage means which stores common exposure data used by the plurality of column cells; and size data correcting means which is provided to each of the column cells, and which receives size data on a variable-shaped beam from the common storage means, thus outputting an amount of correction to the size data. The amount of correction is the size data on the variable-shaped beam, which corresponds to the difference between the size of a resist pattern, which has been formed by specifying an intended pattern size and a reference light exposure, and the intended pattern size.
    Type: Grant
    Filed: March 22, 2006
    Date of Patent: October 28, 2008
    Assignee: Advantest Corp.
    Inventor: Akio Yamada
  • Patent number: 7442946
    Abstract: A nonuniform ion implantation apparatus comprises a wide ion beam generator for generating a wide ion beam including a plurality of wide ion beams irradiated on at least two sections among a plurality of sections into which a wafer is divided, and a wafer drive unit for vertically reciprocating the wafer while the wide ion beam generated by the wide ion beam generator is irradiated on the wafer. At least one of the wide ion beams has a dose different from that of at least another wide ion beam.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: October 28, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventor: Min Yong Lee
  • Patent number: 7432515
    Abstract: A charged particle beam lithography apparatus includes a charged particle beam generation source; a charged particle beam forming portion through which the charged particle beam is transmitted; a first deflector arranged between the charged particle beam forming portion and the charged particle beam generation source; a second deflector arranged between the first deflector and the charged particle beam forming portion; an imaging unit obtaining image data of the aperture; and a control portion calculating amounts of excitation of the first and second deflector based on the image data. The charged particle beam is deflected by the first deflector to intersect the optical axis. The deflected charged particle beam is deflected by the second deflector to advance on the optical axis. The control portion controls the first and second deflectors based on the calculated amounts of excitation.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: October 7, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Shinsuke Nishimura
  • Patent number: 7427765
    Abstract: An electron beam column comprises a thermal field emission electron source to generate an electron beam, an electron beam blanker, a beam shaping module, and electron beam optics comprising a plurality of electron beam lenses. In one version, the optical parameters of the electron beam blanker, beam shaping module, and electron beam optics are set to achieve an acceptance semi-angle ? of from about ¼ to about 3 mrads, where the acceptance semi-angle ? is half the angle subtended by the electron beam at the writing plane. The beam-shaping module can also operate as a single lens using upper and lower projection lenses. A multifunction module for an electron beam column is also described.
    Type: Grant
    Filed: October 3, 2005
    Date of Patent: September 23, 2008
    Assignee: Jeol, Ltd.
    Inventors: Benyamin Buller, William J. DeVore, Juergen Frosien, Xinrong Jiang, Richard L. Lozes, Henry Thomas Pearce-Percy, Dieter Winkler, Steven T. Coyle, Helmut Banzhof
  • Patent number: 7425715
    Abstract: An array of vertically aligned electron emitting nanotips such as multiwall carbon nanotubes are formed for use as a lithographic stamp. Crosswire addressing is used to generate electron emission from particular nanotips within the array. The nanotip array may be used to cure a resist, produce localized electrochemical reactions, establish localized electrostatic charge distributions, or perform other desirable coating or etching process steps so as to create nanoelectronic circuitry or to facilitate molecular or nanoscale processing.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: September 16, 2008
    Inventor: Blaise Laurent Mouttet
  • Patent number: 7423277
    Abstract: An image monitor system monitors characteristics of an ion beam employed in ion implantation. The monitored characteristics can include particle count, particle information, beam current intensity, beam shape, and the like. The system includes one or more image sensors that capture frames or images along a beam path of an ion beam. An image analyzer analyzes the captured frames to obtain measured characteristics. A controller determines adjustments or corrections according to the measured characteristics and desired beam characteristics.
    Type: Grant
    Filed: March 14, 2006
    Date of Patent: September 9, 2008
    Assignee: Axcelis Technologies, Inc.
    Inventors: Alexander S. Perel, Phil J. Ring, Ronald A. Capodilupo, Michael A. Graf
  • Publication number: 20080203324
    Abstract: A method and system for dose correction of a particle beam writer is disclosed. The method and system includes reading a file of writing objects that includes dose intensity, calculating a rate of dose intensity change between adjacent writing objects, selecting a writing object that may need accuracy improvement of dose correction based on the rate of dose intensity change, and improving accuracy of the dose correction of the writing object that is selected and its adjacent objects.
    Type: Application
    Filed: February 22, 2007
    Publication date: August 28, 2008
    Applicant: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Akira Fujimura, Daisuke Hara, Katsuo Komuro, Takashi Mitsuhashi
  • Patent number: 7417234
    Abstract: A method or system of spatial-phase locking a beam used in maskless lithography provides a fiducial grid with a single spatial-period, the fiducial grid being rotated at an angle with respect to a direction of scanning the beam; detects a signal generated in response to the beam being incident upon the fiducial grid; determines frequency components of the detected signal; and determines a two-dimensional location of the beam from phases of two determined fundamental frequency component. The method or system further determines a size of the beam from relative amplitudes of the determined fundamental and harmonic frequency components and/or determine a shape of the beam from relative amplitudes of the determined fundamental and harmonic frequency components. The method or system corrects a deflection of the beam in response to the determined two-dimensional location, and/or adjusts the size of the beam in response to the determined size, and/or adjusts the shape of the beam in response to the determined shape.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: August 26, 2008
    Assignee: Massachusetts Institute of Technology
    Inventors: Jeffrey T. Hastings, James G. Goodberlet, Feng Zhang, Henry I. Smith
  • Patent number: 7414240
    Abstract: A particle remover includes an irradiation unit for irradiating plural lights onto a target from different directions, and for scanning the lights on the target, and a collector for collecting particles carried as a result of scanning of the lights.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: August 19, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventors: Akinori Ohkubo, Kenji Yamazoe, Hiroshi Osawa
  • Patent number: 7410508
    Abstract: Laser beams emitted by a plurality of laser sources are divided into a plurality of sub-beams, which are irradiated onto selected portions of an amorphous semiconductor on a substrate to crystallize the amorphous semiconductor. A difference in diverging angles between the laser beams is corrected by a beam expander. The apparatus includes a sub-beam selective irradiating system including a sub-beam dividing assembly and a sub-beam focussing assembly. Also, the apparatus includes laser sources, a focussing optical system, and a combining optical system. A stage for supporting a substrate includes a plurality of first stage members, a second stage member disposed above the first stage members, and a third stage member 38C, rotatably disposed above the second stage to support an amorphous semiconductor.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: August 12, 2008
    Assignees: Sharp Kabushiki Kaisha, Japan Laser Corporation
    Inventors: Nobuo Sasaki, Tatsuya Uzuka
  • Patent number: 7405414
    Abstract: The present invention relates to a method for creating a pattern on a workpiece sensitive to electromagnetic radiation. Electromagnetic radiation is emitted onto a computer controlled reticle having a multitude of modulating elements (pixels). The pixels are arranged in said computer controlled reticle according to a digital description. An image of said computer controlled reticle is created on said workpiece, wherein said pixels in said computer controlled reticle are arranged in alternate states along at least a part of one feature edge in order to create a smaller address grid. The invention also relates to an apparatus for creating a pattern on a workpiece. The invention also relates to a semiconducting wafer and a mask.
    Type: Grant
    Filed: December 11, 2002
    Date of Patent: July 29, 2008
    Assignee: Micronic Laser Systems AB
    Inventor: Torbjorn Sandstrom
  • Patent number: 7405407
    Abstract: A therapy system using an ion beam, which can shorten the time required for positioning a couch (patient). The therapy system using the ion beam comprises a rotating gantry provided with an ion beam delivery unit including an X-ray tube. An X-ray detecting device having a plurality of X-ray detectors can be moved in the direction of a rotation axis of the rotating gantry. A couch on which a patient is lying is moved until a tumor substantially reaches an extension of an ion beam path in the irradiating unit. The X-ray tube is positioned on the ion beam path and the X-ray detecting device is positioned on the extension of the ion beam path. With rotation of the rotating gantry, both the X-ray tube emitting an X-ray and the X-ray detecting device revolve around the patient. The X-ray is emitted to the patient and detected by the X-ray detectors after penetrating the patient. Tomographic information of the patient is formed based on signals outputted from the X-ray detectors.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: July 29, 2008
    Assignees: Hitachi, Ltd., Board of Regents, The University of Texas System
    Inventors: Kazuo Hiramoto, Hiroshi Akiyama, Yoshihiko Nagamine, Alfred Smith, Wayne Newhauser
  • Patent number: 7388217
    Abstract: In a particle-optical projection system a pattern is imaged onto a target by means of energetic electrically charged particles. The pattern is represented in a patterned beam of said charged particles emerging from the object plane through at least one cross-over; it is imaged into an image with a given size and distortion. To compensate for the Z-deviation of the image position from the actual positioning of the target (Z denotes an axial coordinate substantially parallel to the optical axis), without changing the size of the image, the system includes a position detector for measuring the Z-position of several locations of the target, and a controller for calculating modifications of selected lens parameters of the final particle-optical lens and controlling said lens parameters according to said modifications.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: June 17, 2008
    Assignee: IMS Nanofabrication GmbH
    Inventors: Herbert Buschbeck, Gertraud Lammer, Alfred Chalupka, Robert Nowak, Elmar Platzgummer, Gerhard Stengl
  • Patent number: 7388214
    Abstract: A charged particle beam exposure apparatus which splits a charged-particle beam from a charged-particle beam source into a plurality of charged-particle beams by a plurality of apertures formed in an aperture array to expose a wafer using the plurality of charged-particle beams.
    Type: Grant
    Filed: December 23, 2005
    Date of Patent: June 17, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventor: Takashi Maeda
  • Patent number: 7388215
    Abstract: A pattern drawing method capable of drawing a pattern such that opposite ends of a formation region on an inner periphery side and an outer periphery side are substantially linearly formed. A drawing beam is intermittently irradiated N times onto a generally belt-shaped exposure area extending in a direction of rotation of the substrate from one end to the other end of the belt-shaped exposure area in the direction of rotation of the substrate, the belt-shaped exposure area being defined within a formation region, in which one of the convex or concave portions is to be formed, to thereby irradiate the drawing beam onto N generally belt-shaped irradiation areas extending in the direction of rotation of the substrate.
    Type: Grant
    Filed: July 14, 2006
    Date of Patent: June 17, 2008
    Assignee: TDK Corporation
    Inventors: Katsuyuki Nakada, Kazuhiro Hattori, Shuichi Okawa
  • Patent number: 7385194
    Abstract: An object of the present invention is to measure a landing angle even in a multi electron beam lithography system in which current amount of each beam is small. Another object thereof is to measure an absolute value of the landing angle and a relative landing angle with the high SN ratio. In a transmission detector including two diaphragm plates (first and second diaphragms) and a detector, a detection angle determined by a distance between the first and second diaphragms and an aperture diameter of the second diaphragm is made equal to or smaller than the divergence angle of the electron beam to be measured, and the landing angle is determined based on the relation between a center of the fine hole of the first diaphragm and the center of the aperture of the second diaphragm at which the amount of detected current is maximum.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: June 10, 2008
    Assignees: Hitachi High-Technologies Corporation, Canon Kabushiki Kaisha
    Inventors: Osamu Kamimura, Tadashi Kanosue, Yasunari Sohda, Susumu Goto
  • Patent number: 7385209
    Abstract: Ion beam lithography technique wherein a higher amount of radiation energy is deposited to predetermined regions in the bulk if a suitable substrate. By selecting the radiation nature, its energy and the irradiation parameters a structure can be created in the bulk of the material leaving the surface essentially untouched.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: June 10, 2008
    Assignee: Haute Ecole Arc Ne-Be-Ju
    Inventors: Samuel Jaccard, Serguei Mikhailov, Frans Munnik