With Radiation Modifying Member Patents (Class 250/503.1)
  • Publication number: 20090159819
    Abstract: A pulse modifier, and associated lithographic apparatus and a method for manufacturing a device, is disclosed. The pulse modifier is configured to receive an input pulse of radiation and further configured to emit a plurality of corresponding output pulse portions of radiation, wherein the respective pulse portions are respectively mirrored about an axis transverse to the optical axis and mirrored about a point of the optical axis of the pulse portions.
    Type: Application
    Filed: November 26, 2008
    Publication date: June 25, 2009
    Applicant: ASML Netherlands B. V.
    Inventor: Hako Botma
  • Publication number: 20090146086
    Abstract: Exemplary embodiments of system and apparatus can be provided for treating various dermatological and biological conditions using electromagnetic energy in the form of optical radiation. For example, energy can be provided by a chemical reaction, such as by combustion of a fine metallic filament, which can be used to generate a high-intensity pulse of energy without requiring external energy sources. Various parameters of the reactive materials and enclosures can be selected and/or applied to provide a radiation pulse having particular characteristics, including fluence, peak intensity, and radiation wavelength distribution. Various filters may be provided to further modify characteristics of the radiation. Such radiation pulses can be used to irradiate tissue such as skin to obtain various therapeutic or beneficial effects, including improvement in the appearance of pigmented or venous lesions.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 11, 2009
    Applicant: The General Hospital Corporation
    Inventor: Dieter Manstein
  • Publication number: 20090146084
    Abstract: A transmission line for propagating a terahertz wave generated based on a carrier generated in a carrier generation layer includes a first region in which the terahertz wave propagates in a first direction and a second region having a different impedance compared to the first region and forming a reflection interface with respect to a terahertz wave which propagates opposite to the first direction. The transmission line is formed so that a distance from an irradiation location at which light is irradiated to the carrier generation layer to the reflection interface is smaller than D, where D is a distance converted from a half width of a time waveform of a terahertz wave which propagates in the first direction without passing through the reflection interface. Accordingly, a terahertz wave can be made to propagate with energetic efficiency, to a direction the terahertz wave is required to propagate.
    Type: Application
    Filed: December 1, 2008
    Publication date: June 11, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Takeaki Itsuji
  • Publication number: 20090134345
    Abstract: A dose calculator for heavy-ion therapy systems uses a limited number of spread out Bragg peak models obtainable by a particular therapy system, the models which may be adjusted in energy (offset) and dose contribution (treatment time) to produce a unique composite dose having a complex dose profile with limited reduced time.
    Type: Application
    Filed: November 14, 2008
    Publication date: May 28, 2009
    Inventors: John Roy Gentry, Raymond Terry Riddle, Neal Robert Miller
  • Publication number: 20090127472
    Abstract: A negative refractive index device and a method of generating radiation. In one embodiment, the device includes: (1) an optical input configured to receive light and (2) an optical medium having a negative index of refraction and a second-order nonlinearity proximate a center frequency of the light, coupled to the optical input and configured to resonate in response to the light to yield radiation having a phase velocity based on a group velocity of the light.
    Type: Application
    Filed: November 20, 2007
    Publication date: May 21, 2009
    Applicant: Lucent Technologies Incorporated
    Inventors: Aref Chowdhury, John A. Tataronis
  • Publication number: 20090121160
    Abstract: A charged particle beam device is described. The device includes an emitter unit including an emitter tip; a voltage supply unit adapted for providing a stable voltage to generate a stable extraction field at the emitter tip; a pulsed voltage supply member adapted for providing a pulsed voltage to generate a pulsed extraction field on top of the stable extraction field; a measuring unit for measuring an emitter characteristic; and a control unit adapted for receiving a signal from the measuring unit and for control of the pulsed voltage supply member.
    Type: Application
    Filed: October 16, 2008
    Publication date: May 14, 2009
    Applicant: ICT Integrated Circuit Testing Gesellschaft fur Halbleiterpruftechnik mbH
    Inventors: Dieter Winkler, Udo Weigel, Stefan Grimm
  • Patent number: 7525104
    Abstract: In a particle beam irradiation method and a particle beam irradiation apparatus in which depth direction irradiation field spread and lateral direction irradiation field spread are performed, an irradiation dose in each of irradiation layers of an irradiation target is made substantially constant, and control is simplified. The depth direction irradiation field spread is made the active irradiation field spread in which plural irradiation layers having different ranges in an irradiation direction of the particle beam are superimposed, the lateral direction irradiation field spread is made the active irradiation field spread in which irradiation spots of the particle beam are superimposed in the lateral direction, and a bolus having a shape along a deepest part of the irradiation target in the depth direction is disposed to cross the particle beam.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: April 28, 2009
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Hisashi Harada
  • Publication number: 20090101819
    Abstract: An electron beam apparatus and a method for providing an energy-filtered primary electron beam are described. Therein, a primary electron beam having an asymmetric first energy distribution is generated by means of an electron source. The primary electron beam is high-pass energy filtered using a retarding lens.
    Type: Application
    Filed: September 19, 2008
    Publication date: April 23, 2009
    Applicant: ICT Integrated Circuit Testing Gesellschaft fur Halbleiterpruftechnik mbH
    Inventors: FANG ZHOU, JURGEN FROSIEN, PAVEL ADAMEC
  • Patent number: 7511286
    Abstract: Some embodiments include determination of a first misalignment between an imaging device and an axis of a radiation beam emitted from a treatment head, determination of a rotational misalignment between the imaging device and the treatment head within a plane normal to the axis of the radiation beam, acquisition of an image using the imaging device, and modification of the acquired image based on the determined first misalignment and rotational misalignment. Embodiments may further include determination of a second rotational misalignment between the imaging device and the plane normal to the axis of the radiation beam, and modification of the acquired image based on the determined first misalignment, rotational misalignment and second rotational misalignment.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: March 31, 2009
    Assignee: Siemens Medical Solutions USA, Inc.
    Inventor: Dieter Manthey
  • Publication number: 20090065714
    Abstract: At least one exemplary embodiment is directed toward accelerating charged hydrogenated fluid into collisions of sufficient energy to initiate at least partial fusion of the collisional hydrogenated fluid, where one of the products of the collision is a product including an element higher in the periodic tables than at least one of the colliding fluids, and where, optionally, the at least partial fusion heats a coolant loop which in turn generates electricity.
    Type: Application
    Filed: November 30, 2007
    Publication date: March 12, 2009
    Inventor: John P. Keady
  • Patent number: 7498593
    Abstract: The invention relates to improved terahertz radiation sources and associated methods. A terahertz radiation source is described, comprising: an emitter (202) comprising a semiconductor material (12); a pair of electrodes (204a,b) adjacent a face of said semiconductor, said pair of electrodes defining a gap between said electrodes; a pulsed light source input for illuminating said semiconductor to excite photo-carriers in said semiconductor to generate terahertz radiation; and a radiation collector (212) to collect said terahertz radiation; and wherein said radiation collector is disposed on the same side of said semiconductor as said electrodes. A related method of providing terahertz radiation is also described.
    Type: Grant
    Filed: March 24, 2004
    Date of Patent: March 3, 2009
    Assignee: Cambridge University Technical Services Limited
    Inventors: Yao-chun Shen, Edmund H. Linfield, Alexander G. Davies
  • Publication number: 20090045355
    Abstract: The method for generating entangled beams of electrons, gamma-ray, X-ray, ultraviolet, visible or infrared photons comprises the following elements: an entangled photon beam generator using a BBO crystal, two branches each containing a photon-to-electron converter (photocathode), an electron amplifier (photomultiplier), an electron accelerator and a target that converts the kinetic energy of the electrons into entangled gamma-ray, X-ray, ultraviolet, visible or infrared photons. The beams obtained in each branch contain groups of gamma-ray, X-ray, ultraviolet, visible or infrared photons that are mutually entangled and entangled with the corresponding groups of the other branch. The entangled electrons may also be used as such before interaction with the target. Variants of the method are presented. One application of the method is the preparation of entangled thermoluminescent products by irradiation by means of entangled gamma-ray beams.
    Type: Application
    Filed: January 29, 2007
    Publication date: February 19, 2009
    Inventor: Robert Desbrandes
  • Patent number: 7489395
    Abstract: A method and apparatus for inspecting pattern defects emitting a laser beam, adjusting a light-amount of the laser beam, converting the light-amount adjusted laser beam into a slit-like laser light flux, lowering coherency of the slit-like laser light flux, and irradiating a sample with the coherence reduced slit-like laser light flux. An image of reflection light from the sample is obtained, and a detector is provided which includes the image sensor for receiving the image of the reflection light and for converting it into a detected image signal. An image processor is provided for detecting defects on patterns formed on the sample in accordance with the detected image signal.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: February 10, 2009
    Assignee: Hitachi High-Technologies Corporation, Ltd.
    Inventors: Minoru Yoshida, Shunji Maeda, Atsushi Shimoda, Kaoru Sakai, Takafumi Okabe
  • Publication number: 20090032742
    Abstract: The present embodiments relate to a control device for controlling an irradiation procedure, which is designed in such a way that a target volume is irradiated by at least two irradiation procedures. In each irradiation procedure, an energy of a particle beam is varied in such a way that the target volume is irradiated layer-wise in layers that are spatially arranged one behind another. A sequence in which the layers of the target volume are irradiated in one of the irradiation procedures is varied from irradiation procedure to irradiation procedure, in terms of a direction of incidence of the particle beam.
    Type: Application
    Filed: July 29, 2008
    Publication date: February 5, 2009
    Inventors: Werner Kaiser, Peter van Habelt
  • Publication number: 20090032720
    Abstract: A system comprises an optical energy producing structure that produces non-visible light and an electrical device comprising a solar cell that faces the structure when the electrical device rests on the structure. The solar cell converts non-visible light from the structure to electrical energy for use by the electrical device. The structure comprises a cover that is substantially transparent to the non-visible light, but is substantially opaque to visible light. The electrical device receives the non-visible light through the cover.
    Type: Application
    Filed: July 30, 2007
    Publication date: February 5, 2009
    Inventor: Lee ATKINSON
  • Publication number: 20090032741
    Abstract: A system is provided that can be utilized to generate nanotubes with substantially similar chirality. The system provides a resonant frequency, keyed to a desired radial breathing mode linked to the desired chirality, that causes a template of catalysts particles or nanotubes to oscillate at the provided resonant frequency, so as to stimulate growing nanotubes to oscillate at a corresponding resonant frequency. This resonant frequency can be a result of a high frequency field or the natural heat radiation generated by the system.
    Type: Application
    Filed: July 25, 2008
    Publication date: February 5, 2009
    Inventors: David S. Lashmore, Craig Lombard
  • Publication number: 20090032740
    Abstract: An apparatus for producing light includes a chamber and an ignition source that ionizes a gas within the chamber. The apparatus also includes at least one laser that provides energy to the ionized gas within the chamber to produce a high brightness light. The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light.
    Type: Application
    Filed: July 2, 2008
    Publication date: February 5, 2009
    Applicant: Energetiq Technology, Inc.
    Inventors: Donald K. Smith, William M. Holber, Jeffrey A. Casey
  • Patent number: 7485885
    Abstract: Electromagnetic radiation transformation for powered devices is described. In embodiment(s), a wireless phone (e.g., a cellular phone) includes one or more sources that emit electromagnetic radiation, such as an internal power supply or antenna system of the wireless phone. The wireless phone can also include a paramagnetic material in a quantity sufficient to counteract the electromagnetic radiation emitted by the source. To counteract harmful effects of the electromagnetic radiation, the paramagnetic material can transform the electromagnetic radiation, such as to effectuate a frequency transformation and/or to alter an intensity of the electromagnetic radiation.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: February 3, 2009
    Inventor: Kim Dandurand
  • Patent number: 7482609
    Abstract: An apparatus and method is disclosed which may comprise a laser produced plasma EUV system which may comprise a drive laser producing a drive laser beam; a drive laser beam first path having a first axis; a drive laser redirecting mechanism transferring the drive laser beam from the first path to a second path, the second path having a second axis; an EUV collector optical element having a centrally located aperture; and a focusing mirror in the second path and positioned within the aperture and focusing the drive laser beam onto a plasma initiation site located along the second axis. The apparatus and method may comprise the drive laser beam is produced by a drive laser having a wavelength such that focusing on an EUV target droplet of less than about 100 ?m at an effective plasma producing energy if not practical in the constraints of the geometries involved utilizing a focusing lens. The drive laser may comprise a CO2 laser. The drive laser redirecting mechanism may comprise a mirror.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: January 27, 2009
    Assignee: Cymer, Inc.
    Inventors: Alexander I. Ershov, Alexander N. Bykanov, Oleh Khodykin, Igor V. Fomenkov
  • Publication number: 20090016386
    Abstract: In one embodiment of the present invention, a quantum entangled photon-pair producing device is disclosed which includes a superposed state generating device for generating a superposed state of photon-pairs entering through N (N?2) different incident optical paths and being composed of photons having different polarization directions, and a light-guide device for separating the photon-pairs entering through the N (e.g. N is two) incident optical paths into photons having a first polarization direction (e.g. horizontally polarized light) and those having a second polarization direction (e.g. vertically polarized light) and guiding the photons having the first polarization direction and entering through the i-th (1?i?N) (e.g. the first) incident optical path and photons having the second polarization direction and entering through the (N?i+1) (e.g. the second) incident optical path to the i-th (e.g. the first) exit optical path through optical paths having the same optical path length.
    Type: Application
    Filed: March 1, 2007
    Publication date: January 15, 2009
    Inventors: Keiichi Edamatsu, Ryosuke Shimizu
  • Publication number: 20080315131
    Abstract: The invention relates to a structure characterising device comprising means which are used for generating a first pump radiation and a second probe radiation and for transmitting different wavelength radiation, means for producing a time offset between said first pump and second probe radiation on the structure by means of detecting means of said second beam after the reflection or transmission thereof to said structure in such a way that an analysis signal is generated, means for processing said signal and identifying an area corresponding to the signal jump, for determining the jump amplitude according to different wavelengths, for comparing said amplitude with a theoretical amplitude variation pattern according to the wavelengths and for determining, for the wavelength characteristic for said theoretical pattern, a characteristic value associated to the structure thickness and to the radiation propagation velocity in said structure.
    Type: Application
    Filed: June 19, 2006
    Publication date: December 25, 2008
    Applicant: CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE-CNRS
    Inventors: Arnaud Devos, Gregory Caruyer
  • Patent number: 7465943
    Abstract: A lithographic apparatus includes a collector configured to collect radiation from a radiation source, the collector including a plurality of shells forming separate compartments, and a cleaning arrangement including a gas inlet and a gas outlet, the cleaning arrangement being configured to clean surfaces of the plurality of shells by guiding a gas flow from the inlet through the compartments to the outlet. The cleaning arrangement includes a distribution system configured to divide the gas flow into several sub flows, each of the sub flows corresponding to one or more of the compartments, and a control system configured to control the relative amount of the sub flows.
    Type: Grant
    Filed: December 8, 2005
    Date of Patent: December 16, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Sonia Margart Skelly, Derk Jan Wilfred Klunder, Maarten Marinus Johannes Wilhelmus Van Herpen
  • Patent number: 7462849
    Abstract: The invention relates to a UV-C sterilizing lamp for the treatment of a medium with UV-C radiation in order to kill the microorganisms contained therein by means of at least one UV-C emitter (2). The emitter has an elongated emitter foundation (3) and at least one electrical connection (4), and a base (5) holding the UV-C emitter (2). The at least the emitter foundation (3) of the UV-C emitter (2) is surrounded by a flexible protective cover (6), which is radiolucent to UV-C radiation. The invention furthermore relates to a sterilizing system with at least one UV-C sterilizing lamp of the invention.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: December 9, 2008
    Assignee: Baro GmbH & Co. KG
    Inventors: Martin Ferres, Martin Kirsten
  • Patent number: 7453077
    Abstract: An EUV light source and method of operating same is disclosed which may comprise: an EUV plasma production chamber comprising a chamber wall comprising an exit opening for the passage of produced EUV light focused to a focus point; a first EUV exit sleeve comprising a terminal end comprising an opening facing the exit opening; a first exit sleeve chamber housing the first exit sleeve and having an EUV light exit opening; a gas supply mechanism supplying gas under a pressure higher than the pressure within the plasma production chamber to the first exit sleeve chamber. The first exit sleeve may be tapered toward the terminal end opening, and may, e.g., be conical in shape comprising a narrowed end at the terminal end.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: November 18, 2008
    Assignee: Cymer, Inc.
    Inventors: Norbert R. Bowering, Bjorn A. M. Hansson, Rodney D. Simmons
  • Patent number: 7443577
    Abstract: A filter for use with an array of ultra-small resonant structures that are producing encoded EMR wherein the filter is designed to either reflect encoded EMR beams or to permit certain frequencies to pass there through so that the encoded EMR beam and its encoded data can be transmitted out of the device and to another receiver where the data can be used.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: October 28, 2008
    Assignee: Virgin Islands Microsystems, Inc.
    Inventors: Jonathan Gorrell, Mark Davidson
  • Patent number: 7439530
    Abstract: An apparatus and method is disclosed which may comprise a laser produced plasma EUV system which may comprise a drive laser producing a drive laser beam; a drive laser beam first path having a first axis; a drive laser redirecting mechanism transferring the drive laser beam from the first path to a second path, the second path having a second axis; an EUV collector optical element having a centrally located aperture; and a focusing mirror in the second path and positioned within the aperture and focusing the drive laser beam onto a plasma initiation site located along the second axis. The apparatus and method may comprise the drive laser beam is produced by a drive laser having a wavelength such that focusing on an EUV target droplet of less than about 100 ?m at an effective plasma producing energy if not practical in the constraints of the geometries involved utilizing a focusing lens. The drive laser may comprise a CO2 laser. The drive laser redirecting mechanism may comprise a mirror.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: October 21, 2008
    Assignee: Cymer, Inc.
    Inventors: Alexander I. Ershov, Alexander N. Bykanov, Oleh Khodykin, Igor V. Fomenkov
  • Patent number: 7432517
    Abstract: A pulse modifying unit is provided in the illumination system of the lithographic apparatus to reduce the degradation of the expensive lens elements by billions of the high intensity ultraviolet pulses from the laser is configured to receive an input pulse of radiation along a first optical axis and further configured to emit one or more corresponding output pulses of radiation along a second optical axis, including a divider disposed along the first optical axis and configured to divide the incoming pulse into a first and a second pulse portion, wherein the divider is further configured to direct the first pulse portion along the second optical axis. A first and a second mirror, each with a radius of curvature, are disposed facing each other with a predetermined separation, configured to receive the second pulse portion and to redirect the second portion along the second optical axis.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: October 7, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Hako Botma, Joerg Bruebach, Mark Trentelman, Adel Joobeur
  • Publication number: 20080237499
    Abstract: A pulsed power system has an inductive energy storage circuit (42) including a current source (43) and a plasma opening switch (44). The plasma opening switch has a transmission line (51, 52) coupling the current source to a load (41). The plasma opening switch changes from a closed state to an open state when a plasma discharge (45) in the plasma opening switch is driven by magnetic force from a first region along the transmission line to a second region towards the load. Electrical conductors (47, 48) are arranged for providing a stabilizing magnetic field configuration in the first region to magnetically latch the plasma discharge in the first region during charging of the inductive energy storage circuit, and current flowing along the transmission line from the current source to the load tends to disrupt the stabilizing magnetic field configuration and unlatch the plasma discharge from the first region and drive the plasma discharge toward the second region.
    Type: Application
    Filed: March 23, 2005
    Publication date: October 2, 2008
    Inventor: Richard Auchterlonie
  • Publication number: 20080237500
    Abstract: A thermo-optically functional composition is disclosed. The composition includes components A, B, C, and D, and has a thermal emission or reflection spectrum that is altered with respect to a thermal emission or reflection spectrum of a composition ABn and a thermal emission or reflection spectrum of a composition CDp, where at least A is different from C or B is different from D.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 2, 2008
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: SERGIY ZALYUBOVSKIY, WILLIAM PAUL MINNEAR, VIKAS MIDHA
  • Patent number: 7427766
    Abstract: A method of producing extreme ultraviolet radiation (EUV) or soft X-ray radiation by means of an electrically operated discharge, in particular for EUV lithography or for metrology, in which a plasma (22) is ignited in a gaseous medium between at least two electrodes (14, 16) in a discharge space (12), said plasma emitting said radiation that is to be produced. The gaseous medium is produced from a metal melt (24), which is applied to a surface in said discharge space (12) and at least partially evaporated by an energy beam, in particular by a laser beam (20).
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: September 23, 2008
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Jeroen Jonkers, Dominik Marcel Vaudrevange, Willi Neff
  • Publication number: 20080225245
    Abstract: According to one exemplary embodiment, an extreme ultraviolet (EUV) source collector module for use in a lithographic tool comprises an EUV debris mitigation filter. The EUV debris mitigation filter can be in the form of an aerogel film, and can be used in combination with an EUV debris mitigation module comprising a combination of conventional debris mitigation techniques. The EUV debris mitigation filter protects collector optics from contamination by undesirable debris produced during EUV light emission, while advantageously providing a high level of EUV light transmittance. One disclosed embodiment comprises implementation of an EUV debris mitigation filter in an EUV source collector module utilizing a discharge-produced plasma (DPP) light source. One disclosed embodiment comprises implementation of an EUV debris mitigation filter in an EUV source collector module utilizing a laser-produced plasma (LPP) light source.
    Type: Application
    Filed: March 13, 2007
    Publication date: September 18, 2008
    Inventors: Obert Reeves Wood, Thomas Wallow, Ryoung-Han Kim
  • Publication number: 20080203325
    Abstract: The present invention relates to a method of protecting a radiation source producing extreme ultraviolet radiation (EUV) and/or soft X-rays against short circuits. The method applies to radiation sources producing said EUV-radiation and/or soft X-rays by means of an electrically operated discharge, which is ignited in a vapor between at least two electrodes (1, 2) in a discharge space, wherein said vapor is produced from a metal melt (6), which is applied to a surface in said discharge space and at least partially evaporated by an energy beam (9). Such a radiation source has one or several small gaps (17) between said electrodes (1, 2) and/or between components (4, 5) electrically connected to said electrodes (1, 2). These gaps (17) can cause short circuits when evaporated metal condenses there.
    Type: Application
    Filed: June 6, 2006
    Publication date: August 28, 2008
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS, N.V.
    Inventors: Dominik Marcel Vaudravange, Jeroen Jonkers
  • Publication number: 20080197300
    Abstract: In a method of calibrating a light delivery device (10) having a solid state light source (12), for example comprising LEDs of an LED array, and an intensity control unit (16) comprising LED array driver and a dimmer module for generating a control signal for controlling at least the intensity of the light source, the light source is temporarily connected by a light guide (24; 24, 26) to a radiometer (38) for detecting irradiance of the delivered light. The light delivery device has a memory (30) for storing control signal parameters and associated radiance levels. The light delivery device is calibrated by adjusting the control signal parameters, e.g.
    Type: Application
    Filed: February 25, 2008
    Publication date: August 21, 2008
    Inventors: Roy Kayser, Yu Song, Mitchell Wade Macdonald
  • Patent number: 7411202
    Abstract: An irradiating apparatus includes a support member and a reflector supported by the support member to define a concave light energy reflector surface. A light source of radiating energy is disposed generally at the source focal point of the reflector. The support member has a passage for cooling air to flow therethrough and openings for distributing the cooling air to the apparatus. The support member, thereby, performs a dual function of supporting the reflector as well as providing a manifold for the cooling air.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: August 12, 2008
    Assignee: Dubois Equipment Company, Inc.
    Inventors: Benjamin W. Hasenour, James F. Arvin
  • Publication number: 20080185539
    Abstract: An identification system for a light radiation source (103) having a control circuit (107) for communicating with an identification circuit (108) associated with the light radiation source, wherein the identification circuit is arranged for storing data relating to the light radiation source. During operation, the control circuit communicates with the identification circuit via a signal path comprising at least a portion of a first electric wire (112) provided for energizing the light radiation source such that it is used as a first transmitting antenna for communicating with the identification circuit. The operation of the light radiation source is controlled in dependence on the data retrieved from the identification circuit. Depending on the result of the identification, operation of the light radiation source can be authorized or prevented, thus blocking the use of an incorrect radiation source for a given application.
    Type: Application
    Filed: April 20, 2006
    Publication date: August 7, 2008
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS, N.V.
    Inventors: Olaf Mastenbroek, Klaas Jacob Lulofs
  • Patent number: 7402825
    Abstract: A laser produced plasma (“LPP”) extreme ultraviolet (“EUV”) light source and method of operating same is disclosed which may comprise an EUV plasma production chamber having a chamber wall; a drive laser entrance window in the chamber wall; a drive laser entrance enclosure intermediate the entrance window and a plasma initiation site within the chamber and comprising an entrance enclosure distal end opening; at least one aperture plate intermediate the distal opening and the entrance window comprising at least one drive laser passage aperture. The at least one aperture plate may comprise at least two aperture plates comprising a first aperture plate and a second aperture plate defining an aperture plate interim space. The at least one drive laser aperture passage may comprise at least two drive laser aperture passages.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: July 22, 2008
    Assignee: Cymer, Inc.
    Inventors: Rodney D. Simmons, John W. Viatella, Jerzy R. Hoffman, R. Kyle Webb, Alexander N. Bykanov, Oleh Khodykin
  • Patent number: 7399981
    Abstract: The device comprises a device (2) for creating an essentially linear target (4) in an evacuated space where laser beams (1) are focused, the target being suitable for interacting with the focused laser beams (1) to emit a plasma emitting radiation in the extreme ultraviolet. A receiver device (3) receives the target (4) after it has interacted with the focused laser beams (1), and a collector device (110) collects the EUV radiation emitted by the target (4). The focusing elements (11) for focusing the laser beams on the target (4) are arranged in such a manner that the laser beams (1) are focused on the target (4) laterally, being situated in a common half-space relative to the target (4) and being inclined at a determined angle lying in the range about 60° to about 90° relative to a mean collection axis (6) perpendicular to the target (4).
    Type: Grant
    Filed: June 13, 2005
    Date of Patent: July 15, 2008
    Assignees: Commissariat Energie Atomique, Alcatel Vacuum Technology France
    Inventors: Guy Cheymol, Philippe Cormont, Pierre-Yves Thro, Olivier Sublemontier, Martin Schmidt, Benoit Barthod
  • Patent number: 7397056
    Abstract: A lithographic apparatus includes a radiation system including a radiation source for the production of a radiation beam, and a contaminant trap arranged in a path of the radiation beam. The contaminant trap includes a plurality of foils or plates defining channels which are arranged substantially parallel to the direction of propagation of said radiation beam. The foils or plates are oriented substantially radially with respect to an optical axis of the radiation beam. The contaminant trap is provided with a gas injector which is configured to inject gas at least at two different positions directly into at least one of the channels of the contaminant trap.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: July 8, 2008
    Assignee: ASML Netherlands B.V.
    Inventors: Leonid Aizikovitch Sjmaenok, Vadim Yevgenyevich Banine, Josephus Jacobus Smits, Lambertus Adrianus Van De Wildenberg, Alexander Alexandrovitch Schmidt, Arnoud Cornelis Wassink, Eric Louis Willem Verpalen, Antonius Johannes Van De Pas
  • Publication number: 20080157010
    Abstract: To generate radiation or particles by interaction between a laser beam and a target, the selected target is a free flow (5) in a vacuum enclosure (40) of a powder made up of solid grains of size from 10 ?m to 1 mm and the laser beam (9), which is an intense pulsed laser beam, is focused onto the powder flow (5) that is driven by gravity only, to create an interaction area (8) generating the radiation or the particles in the vacuum enclosure (40), in which the internal pressure is less than 1000 Pa.
    Type: Application
    Filed: August 19, 2005
    Publication date: July 3, 2008
    Inventors: Michel Bougeard, Fabien Quere, Marina Servol
  • Publication number: 20080149861
    Abstract: An adjustable, low-temperature blackbody radiation system includes a blackbody enclosure having an optical port formed therein, and a cold element provided within the blackbody enclosure adjacent the optical port, the cold element configured to emit thermal radiation through the optical port. A dry gas source is in fluid communication with the blackbody enclosure, and is configured to purge the blackbody enclosure with a gas. A temperature control system is coupled to the cold element to maintain the cold element at a predetermined temperature. A light trap feature (e.g., a dark mirror cube corner structure) is incorporated into and/or around the cold element.
    Type: Application
    Filed: December 22, 2006
    Publication date: June 26, 2008
    Inventors: Stephen K. Wilcken, Keith J. Davis
  • Patent number: 7391040
    Abstract: A heat emitting beacon having a rotating parabolic mirror to concentrate the heat from a gas heat source, said mirror back being coated with a passive cold emission material comprised of a metalized plastic film creased and crumpled to form a multitude of reflective facets and preventing any reflected light. In a second embodiment, a rotating, single- or double-sided surface is coated with the passive cold emission material. In another embodiment, a signal beacon is comprised of a plurality of detachable strips of the passive cold emission material. In another embodiment, a plurality of surface elements covered with the passive cold emission material is arranged as a louver.
    Type: Grant
    Filed: November 17, 2005
    Date of Patent: June 24, 2008
    Inventors: Derek Haynes, Stuart M. Jenkins, Michael Thomas
  • Patent number: 7378673
    Abstract: A source material dispenser for an EUV light source is disclosed that comprises a source material reservoir, e.g. tube, that has a wall and is formed with an orifice. The dispenser may comprise an electro-actuatable element, e.g. PZT material, that is spaced from the wall and operable to deform the wall and modulate a release of source material from the dispenser. A heat source heating a source material in the reservoir may be provided. Also, the dispenser may comprise an insulator reducing the flow of heat from the heat source to the electro-actuatable element. A method of dispensing a source material for an EUV light source is also described. In one method, a first signal may be provided to actuate the electro-actuatable elements to modulate a release of source material and a second signal, different from the first, may be provided to actuate the electro-actuatable elements to unclog the orifice.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: May 27, 2008
    Assignee: Cymer, Inc.
    Inventors: Alexander N. Bykanov, Oleh Khodykin
  • Patent number: 7378669
    Abstract: A lithographic projection apparatus includes a beam path for a beam of radiation, a projection system, a support structure for supporting a patterning device and a substrate holder for holding a substrate. The beam path includes a radiation system for providing the beam of radiation, and the projection system projects the beam of radiation patterned by the patterning device onto a target portion on the substrate. At least one chamber that includes at least part of the beam path. A purge gas supply subsystem is coupled to the chamber for supplying a flow of purge gas to the chamber, and a control unit is arranged to switch the purge gas supply subsystem between at least two different modes of operation. The control unit controls the purge gas supply subsystem to supply mutually different respective non-zero flow rates of the purge gas to the chamber in the different modes of operation.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: May 27, 2008
    Assignee: ASML Netherlands B.V.
    Inventor: Martinus Cornelis Maria Verhagen
  • Patent number: 7378672
    Abstract: A particle beam therapeutic apparatus can ensure the uniformity of dose distribution by overlapping the desired loci of the irradiation of a particle beam a reduced number of times. A flow of a particle beam transported so as to be irradiated to a diseased part is caused to deflect in two mutually orthogonal directions perpendicular to the direction of travel of the particle beam. The irradiation position of the particle beam is scanned, upon each period, in a manner to return to a position of irradiation located at the start of the period, whereby a plurality of loci drawn within one period are overlapped with one another thereby to irradiate a desired planned dose to the diseased part. The particle beam can be interrupted only at the end of the period.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: May 27, 2008
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Hisashi Harada
  • Patent number: 7372056
    Abstract: An EUV light generation system and method is disclosed that may comprise a droplet generator producing plasma source material target droplets traveling toward the vicinity of a plasma source material target irradiation site; a drive laser; a drive laser focusing optical element having a first range of operating center wavelengths; a droplet detection radiation source having a second range of operating center wavelengths; a drive laser steering element comprising a material that is highly reflective within at least some part of the first range of wavelengths and highly transmissive within at least some part of the second range of center wavelengths; a droplet detection radiation aiming mechanism directing the droplet detection radiation through the drive laser steering element and the lens to focus at a selected droplet detection position intermediate the droplet generator and the irradiation site.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: May 13, 2008
    Assignee: Cymer, Inc.
    Inventors: Alexander N. Bykanov, J. Martin Algots, Oleh Khodykin, Oscar Hemberg
  • Patent number: 7368716
    Abstract: An IR imaging system includes a lens module and an imaging module coupled to the lens module. The lens module includes a barrel defining a through hole, at least one lens received in the through hole and an IR bandpass filter received in the barrel and configured for filtering out visible light. The imaging module includes a housing and an IR image sensor received in the housing. The IR imaging system can prevent IR image sensors being affected by visible light.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: May 6, 2008
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Ga-Lane Chen
  • Patent number: 7365351
    Abstract: Systems and methods are disclosed for protecting an EUV light source plasma production chamber optical element surface from debris generated by plasma formation. In one aspect of an embodiment of the present invention, a shield is disclosed which comprises at least one hollow tube positioned between the optical element and a plasma formation site. The tube is oriented to capture debris while allowing light to pass through the tube's lumen via reflection at relatively small angles of grazing incidence. In another aspect of an embodiment of the present invention, a shield is disclosed which is heated to a temperature sufficient to remove one or more species of debris material that has deposited on the shield. In yet another aspect of an embodiment of the present invention, a system is disclosed which a shield is moved from a light source plasma chamber to a cleaning chamber where the shield is cleaned.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: April 29, 2008
    Assignee: Cymer, Inc.
    Inventors: Norbert Bowering, Bjorn A. M. Hansson
  • Patent number: 7355189
    Abstract: The invention provides a charged particle therapy system capable of increasing the number of patients treated. An irradiation filed forming apparatus for irradiating a charged particle beam extracted from a charged particle beam generator to an irradiation target includes an RMW device. The RMW device comprises a housing and an RMW disposed within the housing. A rotary shaft of the RMW is rotatably mounted to the housing. The RMW device is detachably installed in an RMW holding member providied in a casing of the irradiation filed forming apparatus. The housing can be placed in contact with the RMW holding member, and hence positioning of the rotary shaft of the RMW to a predetermined position can be performed in a short time. This contributed to cutting a time required for treatment per patient and increasing the number of patients treated.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: April 8, 2008
    Assignee: Hitachi, Ltd.
    Inventors: Masaki Yanagisawa, Hiroshi Akiyama, Koji Matsuda, Hisataka Fujimaki
  • Patent number: 7355192
    Abstract: According to one aspect of the invention a plasma-based substrate processing apparatus comprises a collimator holding device, which efficiently and accurately positions a collimator over a substrate to enable the plasma-based substrate processing apparatus to selectively deposit and/or remove material at the bottom of a high aspect ratio openings or trenches and/or protrusions. The collimator holding device may comprise a holder ring, with adjustable spacers, having the means of manually positioning a collimator a precise distance above and approximately parallel to the wafer support, while providing greater heat capacity and heat transfer rates, thus reducing the risk of thermal damage to the collimator. In an embodiment, the holder ring may further comprise one or more slots, which may provide ventilation for a mini-chamber above the substrate being processed.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: April 8, 2008
    Assignee: Intel Corporation
    Inventor: Robert L. Norman, Jr.
  • Patent number: 7355191
    Abstract: Systems and methods are disclosed for cleaning a chamber window of an extreme ultraviolet (EUV) light source. The window may have an inside surface facing a chamber interior and an opposed outside surface and the light source may generate debris by plasma formation. For the system, a subsystem may be positioned outside the chamber and may be operable to pass energy through the window to heat debris accumulating on the inside surface of the window. In a first embodiment, the subsystem may place a flowing, heated gas in contact with the outside surface of the window. In another embodiment, electromagnetic radiation may be passed through the window.
    Type: Grant
    Filed: November 28, 2005
    Date of Patent: April 8, 2008
    Assignee: Cymer, Inc.
    Inventors: Alexander N. Bykanov, William F. Marx