Capacitor Coupled To, Or Forms Gate Of, Insulated Gate Field Effect Transistor (e.g., Non-destructive Readout Dynamic Memory Cell Structure) Patents (Class 257/300)
  • Patent number: 10304488
    Abstract: To provide a memory cell for storing multilevel data that is less likely to be affected by variations in characteristics of transistors and that is capable of easily writing multilevel data in a short time and accurately reading it out. In writing, a current corresponding to multilevel data is supplied to the transistor in the memory cell and stored as the gate-drain voltage of the transistor in the memory cell. In reading, a current is supplied to the transistor in the transistor with the stored gate-drain voltage, and the multilevel data is obtained from the voltage supplied to generate a current that is equal to the current.
    Type: Grant
    Filed: June 6, 2017
    Date of Patent: May 28, 2019
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yoshiyuki Kurokawa
  • Patent number: 10304828
    Abstract: An imaging device includes: a semiconductor substrate; a first insulating layer covering a surface of the semiconductor substrate, the first insulating layer including first and second portions, a thickness of the first portion being greater than a thickness of the second portion; and an imaging cell. The imaging cell includes: a first transistor including a first gate insulating layer and an impurity region in the semiconductor substrate as one of a source and a drain; a second transistor including a gate electrode and a second gate insulating layer; and a photoelectric converter electrically connected to the gate electrode and the impurity region. The first portion covers a portion of the impurity region, the portion being exposed to the surface of the semiconductor substrate. The first gate insulating layer is a part of the first portion. The second gate insulating layer is a part of the second portion.
    Type: Grant
    Filed: September 7, 2017
    Date of Patent: May 28, 2019
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Yoshihiro Sato, Ryota Sakaida, Satoshi Shibata, Taiji Noda
  • Patent number: 10236214
    Abstract: A method of forming a vertical transistor includes forming a first pair of fins on a substrate; forming a second pair of fins on the substrate; forming a first trench in the substrate and interposed between each one of the first pair of fins; forming a second trench in the substrate and interposed between each one of the second pair of fins, wherein the second trench is deeper than the first trench; forming a first semiconductor structure interposed between each one of the first pair of fins, the first semiconductor structure having a first gate region interposed between a first source region and a first drain region; and forming a second semiconductor structure interposed between each one of the second pair of fins, the second semiconductor structure having a first gate region interposed between a second source region and a second drain region.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: March 19, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Xin Miao, Wenyu Xu, Chen Zhang
  • Patent number: 10236297
    Abstract: A single poly non-volatile memory device that includes: a first type lower well; first and second wells separately formed in an upper portion of the first type lower well; a source electrode, a selection transistor, a sensing transistor, and a drain electrode sequentially disposed in an upper portion of the first well. A control gate is formed in an upper portion of the second well with separated on an opposite side of the source electrode from the first well and connected to the gate of the sensing transistor.
    Type: Grant
    Filed: January 10, 2017
    Date of Patent: March 19, 2019
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventors: Su Jin Kim, Hye Jin Yoo
  • Patent number: 10211347
    Abstract: Certain aspects of the present disclosure provide a semiconductor capacitor. The semiconductor capacitor generally includes an insulative layer, and a semiconductor region disposed adjacent to a first side of the insulative layer. The semiconductor capacitor also includes a first non-insulative region disposed adjacent to a second side of the insulative layer. In certain aspects, the semiconductor region may include a second non-insulative region, wherein the semiconductor region includes at least two regions having at least one of different doping concentrations or different doping types, and wherein one or more junctions between the at least two regions are disposed above or below the first non-insulative region.
    Type: Grant
    Filed: September 15, 2017
    Date of Patent: February 19, 2019
    Assignee: QUALCOMM Incorporated
    Inventors: Fabio Alessio Marino, Narasimhulu Kanike, Qingqing Liang, Francesco Carobolante, Paolo Menegoli
  • Patent number: 10174371
    Abstract: A nanopore cell includes a conductive layer. The nanopore cell further includes a titanium nitride (TiN) working electrode disposed above the conductive layer. The nanopore cell further includes insulating walls disposed above the TiN working electrode, wherein the insulating walls and the TiN working electrode form a well into which an electrolyte may be contained. In some embodiments, the TiN working electrode comprises a spongy and porous TiN working electrode that is deposited by a deposition technique with conditions tuned to deposit sparsely-spaced TiN columnar structures or columns of TiN crystals above the conductive layer.
    Type: Grant
    Filed: August 5, 2015
    Date of Patent: January 8, 2019
    Assignee: Genia Technologies, Inc.
    Inventors: John Foster, Jason Komadina
  • Patent number: 10163920
    Abstract: A memory device includes at least one memory cell. The memory cell includes first and second transistors, and first and second capacitors. The first transistor is coupled to a source line. The second transistor is coupled to the first transistor and a bit line. The first capacitor is coupled to a word line and the second transistor. The second capacitor is coupled to the second transistor and an erase gate.
    Type: Grant
    Filed: March 22, 2017
    Date of Patent: December 25, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Hsien Chen, Liang-Tai Kuo, Hau-Yan Lu, Chun-Yao Ko
  • Patent number: 10164039
    Abstract: A semiconductor device having metal gate includes a substrate, a metal gate formed on the substrate, a pair of spacers formed on sidewalls of the metal gate, a contact etch stop layer (CESL) covering the spacers, an insulating cap layer formed on the metal gate, the spacers and the CESL, and an ILD layer surrounding the metal gate, the spacers, the CESL and the insulating cap layer. The metal gate, the spacers and the CESL include a first width, and the insulating cap layer includes a second width. The second width is larger than the first width. And a bottom of the insulating cap layer concurrently contacts the metal gate, the spacers, the CESL, and the ILD layer.
    Type: Grant
    Filed: October 3, 2016
    Date of Patent: December 25, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: En-Chiuan Liou, Chih-Wei Yang, Yu-Cheng Tung
  • Patent number: 10090311
    Abstract: Device and methods for forming a single transistor non-volatile (NV) multi-time programmable (MTP) memory cell are disclosed. The disclosed memory cell is derived via the disclosed method that includes providing a substrate and forming at least a transistor well with a second polarity type dopant and first and second capacitor wells with a first polarity type dopant in the substrate. The method also includes forming a transistor having a floating gate over the transistor well, a control gate over the first capacitor well and coupled to the floating gate, an erase gate over the second capacitor well and coupled to the floating gate. The control gate comprises a control capacitor while the erase gate comprises an erase capacitor that is decoupled from the control capacitor.
    Type: Grant
    Filed: March 21, 2017
    Date of Patent: October 2, 2018
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Pengfei Guo, Shyue Seng Tan
  • Patent number: 10068898
    Abstract: A method for forming an on-chip capacitor with complementary metal oxide semiconductor (CMOS) devices includes forming a first capacitor electrode between gate structures in a capacitor region while forming contacts to source and drain (S/D) regions in a CMOS region. Gate structures are cut in the CMOS region and the capacitor region by etching a trench across the gate structures and filling the trench with a dielectric material. The gate structures and the dielectric material in the trench in the capacitor region are removed to form a position for an insulator and a second electrode. The insulator is deposited in the position. Gate metal is deposited to form gate conductors in the CMOS region and the second electrode in the capacitor region.
    Type: Grant
    Filed: January 26, 2017
    Date of Patent: September 4, 2018
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Peng Xu
  • Patent number: 10038001
    Abstract: Systems, methods, and techniques described here provide for a hybrid electrically erasable programmable read-only memory (EEPROM) that functions as both a single polysilicon EEPROM and a double polysilicon EEPROM. The two-in-one hybrid EEPROM can be programmed and/or erased as a single polysilicon EEPROM and/or as a double polysilicon EEPROM. The hybrid EEPROM memory cell includes a programmable capacitor disposed on a substrate. The programmable capacitor includes a floating gate forming a first polysilicon layer, an oxide-nitride-oxide (ONO) layer having disposed over a first surface of the floating gate, and a control gate forming a second polysilicon layer with the control gate formed over a first surface of the ONO layer to form a hybrid EEPROM having a single polysilicon layer and a double polysilicon EEPROM. The single polysilicon EEPROM includes the first polysilicon layer and the double polysilicon EEPROM includes the first and second polysilicon layers.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: July 31, 2018
    Assignee: Allegro Microsystems, LLC
    Inventor: Yigong Wang
  • Patent number: 10032106
    Abstract: The disclosure relates to a temperature-controlled oscillator.
    Type: Grant
    Filed: January 28, 2017
    Date of Patent: July 24, 2018
    Assignee: NXP B.V.
    Inventor: Robert Entner
  • Patent number: 10008502
    Abstract: A memory device which stores a large amount of data is provided. The memory device includes a first transistor, a second transistor, a third transistor, a first capacitor, a second capacitor, and first to third wirings. The first transistor includes an oxide semiconductor in a channel formation region, the second transistor includes silicon in a channel formation region, and the third transistor includes silicon in a channel formation region. The first capacitor is provided in the same layer as the first transistor. A region of the second capacitor and a region of the first capacitor overlap with each other. The thickness of a dielectric of the second capacitor is preferably larger than the thickness of a dielectric of the first capacitor.
    Type: Grant
    Filed: April 26, 2017
    Date of Patent: June 26, 2018
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Masami Endo
  • Patent number: 10002916
    Abstract: An organic light-emitting diode display is disclosed. In one aspect, the display includes a semiconductor layer including a driving channel, a first gate insulating layer at least partially covering the semiconductor layer, and a first driving gate electrode formed over the first gate insulating layer and overlapping the driving. A second gate insulating layer at least partially covers the first driving gate electrode. The display also includes a second driving gate electrode formed over the second gate insulating layer and overlapping the first driving gate electrode, an interlayer insulating layer at least partially covering the second driving gate electrode, a driving voltage line formed over the interlayer insulating layer and overlapping the second driving gate electrode, and a connector formed over the interlayer insulating layer and connected to the first and second driving gate electrodes.
    Type: Grant
    Filed: August 4, 2016
    Date of Patent: June 19, 2018
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hwang Sup Shin, Jin Goo Jung
  • Patent number: 9941375
    Abstract: A method for manufacturing a semiconductor device includes providing a semiconductor substrate having a first side. A trench having a bottom is formed. The trench separates a first mesa region from a second mesa region formed in the semiconductor substrate. The trench is filled with an insulating material, and the second mesa region is removed relative to the insulating material filled in the trench to form a recess in the semiconductor substrate. In a common process, a first silicide layer is formed on and in contact with a top region of the first mesa region at the first side of the semiconductor substrate and a second silicide layer is formed on and in contact with the bottom of the recess.
    Type: Grant
    Filed: January 27, 2017
    Date of Patent: April 10, 2018
    Assignee: Infineon Technologies Dresden GmbH
    Inventors: Rolf Weis, Martin Bartels, Marko Lemke, Stefan Tegen
  • Patent number: 9871111
    Abstract: A vertical gate all around (VGAA) is provided. In embodiments, the VGAA has a nanowire with a first contact pad and a second contact pad. A gate electrode is utilized to help define a channel region within the nanowire. In additional embodiments multiple nanowires, multiple bottom contacts, multiple top contacts, and multiple gate contacts are utilized.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: January 16, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Ta Hsieh, Tetsu Ohtou, Ching-Wei Tsai, Chih-Hao Wang
  • Patent number: 9847421
    Abstract: A semiconductor device is provided that includes a deep trench defining an active region, and a fin-type pattern protruding within the active region. The fin-type pattern having a lower portion, an upper portion of a narrower width than the lower portion, and a first stepped portion formed at a boundary between the upper portion and the lower portion. The device also includes a first field insulating film surrounding the lower portion and a second field insulating film formed on the first field insulating film and partially surrounding the upper portion.
    Type: Grant
    Filed: June 22, 2016
    Date of Patent: December 19, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-soo Kim, Song-E Kim, Koung-Min Ryu, Sun-Ki Min
  • Patent number: 9847389
    Abstract: An integrated circuit includes a device including an active region of the device, where the active region of the device includes a channel region having a transverse and a lateral direction. The device further includes an isolation region adjacent to the active region in a traverse direction from the active region, where the isolation region includes a first region located in a transverse direction to the channel region. The isolation region further includes a second region located in a lateral direction from the first region. The first region of the isolation region is under a stress of a first type and the second region of the isolative region is one of under a lesser stress of the first type or of under a stress of a second type being opposite of the first type.
    Type: Grant
    Filed: October 25, 2013
    Date of Patent: December 19, 2017
    Assignee: NXP USA, Inc.
    Inventors: Brian A. Winstead, Vance H. Adams, Paul A. Grudowski
  • Patent number: 9842762
    Abstract: The present disclosure provides a method of manufacturing a semiconductor wafer having a semiconductor-on-insulator (SOI) configuration, the method including providing a semiconductor starting wafer, the semiconductor starting wafer having a base substrate, a semiconductor layer formed over the base substrate and a buried insulating material layer formed between the semiconductor substrate and the base substrate, exposing the semiconductor starting wafer to a first oxidization process, wherein an oxide surface region is formed by oxidizing an upper surface region of the semiconductor layer, thinning the oxide surface region, exposing the semiconductor starting wafer to a second oxidization process, wherein a thickness of the oxide surface region is locally increased, and removing the oxide surface region, wherein the semiconductor layer is exposed.
    Type: Grant
    Filed: November 11, 2016
    Date of Patent: December 12, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Berthold Reimer, Boris Bayha
  • Patent number: 9812564
    Abstract: A split-gate MOSFET includes first and second epitaxial layers, first, second, and third gates, a gate oxide layer, a trench oxide layer, and a trench implantation region formed on a substrate in order. The second epitaxial layer has a doping concentration greater than that of the first epitaxial layer. A plurality of trenches is in the first and second epitaxial layers. Both the first and second gates are located in each of the trenches in a cell region. The third gates are located in each of the trenches in a terminal region. The third gate closest to the cell region is grounded, and the others are floating. The gate oxide layer is disposed between the first and second gates. The trench oxide layer is located between the first gate and the first epitaxial layer and located between the trench surface and the third gate. The trench implantation region is located in the first epitaxial layer at the bottom of the trench and has a doping concentration less than that of the first epitaxial layer.
    Type: Grant
    Filed: November 2, 2016
    Date of Patent: November 7, 2017
    Assignee: Silicongear Corporation
    Inventors: Chih-Cheng Liu, Jiong-Guang Su, Hung-Wen Chou
  • Patent number: 9799559
    Abstract: A method includes, for example, providing an intermediate semiconductor structure comprising a metallic layer, a patternable layer disposed over the metallic layer, and a hard mask disposed over the patternable layer, the intermediate semiconductor structure comprising a plurality of vias extending through the hard mask onto the metallic layer, depositing a sacrificial barrier layer over the intermediate semiconductor structure and in the plurality of vias, removing a portion of the sacrificial barrier layer between the plurality of vias while maintaining a portion of the sacrificial barrier layer in the plurality of vias, forming a trench in the patternable layer between the removed portion of the sacrificial barrier layer and the plurality of vias, and removing the remaining portions of the sacrificial barrier layer from the plurality of vias.
    Type: Grant
    Filed: May 19, 2016
    Date of Patent: October 24, 2017
    Assignees: GLOBALFOUNDRIES Inc., International Business Machines Corporation
    Inventors: Shariq Siddiqui, Frank W. Mont, Xunyuan Zhang, Brown Peethala, Douglas M. Trickett
  • Patent number: 9791909
    Abstract: A hybrid system-on-chip provides a plurality of memory and processor die mounted on a semiconductor carrier chip that contains a fully integrated power management system that switches DC power at speeds that match or approach processor core clock speeds, thereby allowing the efficient transfer of data between off-chip physical memory and processor die.
    Type: Grant
    Filed: May 24, 2016
    Date of Patent: October 17, 2017
    Inventor: L. Pierre de Rochemont
  • Patent number: 9780217
    Abstract: Non-planar semiconductor devices having self-aligned fins with top blocking layers and methods of fabricating non-planar semiconductor devices having self-aligned fins with top blocking layers are described. For example, a semiconductor structure includes a semiconductor fin disposed above a semiconductor substrate and having a top surface. An isolation layer is disposed on either side of the semiconductor fin, and recessed below the top surface of the semiconductor fin to provide a protruding portion of the semiconductor fin. The protruding portion has sidewalls and the top surface. A gate blocking layer has a first portion disposed on at least a portion of the top surface of the semiconductor fin, and has a second portion disposed on at least a portion of the sidewalls of the semiconductor fin. The first portion of the gate blocking layer is continuous with, but thicker than, the second portion of the gate blocking layer. A gate stack is disposed on the first and second portions of the gate blocking layer.
    Type: Grant
    Filed: June 26, 2013
    Date of Patent: October 3, 2017
    Assignee: Intel Corporation
    Inventors: Jeng-Ya D. Yeh, Chia-Hong Jan, Walid M. Hafez, Joodong Park
  • Patent number: 9766680
    Abstract: A hybrid system-on-chip provides a plurality of memory and processor die mounted on a semiconductor carrier chip that contains a fully integrated power management system that switches DC power at speeds that match or approach processor core clock speeds, thereby allowing the transfer of data between off-chip physical memory and processor die.
    Type: Grant
    Filed: May 24, 2016
    Date of Patent: September 19, 2017
    Inventor: L. Pierre de Rochemont
  • Patent number: 9728539
    Abstract: A multi-bit capacitorless DRAM according to the embodiment of the present invention may be provided that includes: a substrate; a source and a drain formed on the substrate; a plurality of nanowire channels formed on the substrate; a gate insulation layer formed in the plurality of nanowire channels; and a gate formed on the gate insulation layer. Two or more nanowire channels among the plurality of nanowire channels have different threshold voltages. Each of the nanowire channels includes: a silicon layer; a first epitaxial layer which is formed to surround the silicon layer; and a second epitaxial layer which is formed to surround the first epitaxial layer. As a result, the high integration multi-bit capacitorless DRAM which operates at multi-bits can be implemented and a performance of accumulating excess holes can be improved by using energy band gap.
    Type: Grant
    Filed: February 16, 2016
    Date of Patent: August 8, 2017
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Yang-Kyu Choi, Jun-Young Park, Byung-Hyun Lee, Dae-Chul Ahn
  • Patent number: 9728617
    Abstract: A method of manufacturing a semiconductor device includes providing a semiconductor substrate having a main surface and a gate electrode which is within a trench between neighboring semiconductor mesas. The gate electrode is electrically insulated from the neighboring semiconductor mesas by respective dielectric layers. A respective pillar on each of the neighboring semiconductor mesas is formed, leaving an opening between the pillars above the trench. Dielectric contact spacers are formed in the opening along respective pillar side walls to narrow the opening above the gate electrode. A conductor is formed, having an interface with the gate electrode. The interface extends along an extension of the gate electrode, and the conductor has a conductivity greater than the conductivity of the gate electrode.
    Type: Grant
    Filed: November 6, 2015
    Date of Patent: August 8, 2017
    Assignee: Infineon Technologies Austria AG
    Inventor: Martin Poelzl
  • Patent number: 9716048
    Abstract: Provided is a semiconductor device including an interconnection structure provided on a cell region of a substrate to include a first line and a second line sequentially stacked on the substrate, and a defect detection structure provided on a peripheral region of the substrate to include first and second defect detection lines provided at the same levels as those of the first and second lines, respectively.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: July 25, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sundae Kim, Yun-Rae Cho, Namgyu Baek, Seokhyun Lee
  • Patent number: 9711510
    Abstract: A memory device includes a plurality of memory cells At least one of the memory cells includes a plurality of transistors with vertical-gate-all-around configurations and a plurality of active blocks. A portion of at least one of the active blocks serves as a source or a drain of one of the transistors.
    Type: Grant
    Filed: July 11, 2014
    Date of Patent: July 18, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Jhon-Jhy Liaw
  • Patent number: 9698215
    Abstract: A metal-insulator-metal capacitor is provided in a replacement metal gate module having a gate cap formed on a gate. The capacitor includes a first electrode formed within a portion of the gate using a metal forming the gate. The first electrode has a horizontal component and a stack rising from at least a portion of the horizontal component. The capacitor further includes an insulator formed within a recess. The recess is formed to have a lower portion and walls rising from edges of the lower portion. The lower portion is formed on a different portion of the horizontal component than the stack. The walls are formed adjacent to a sidewall of the stack and a portion of the gate cap. The capacitor also includes a second electrode formed within the recess and on the insulator.
    Type: Grant
    Filed: January 12, 2017
    Date of Patent: July 4, 2017
    Assignee: International Business Machines Corporation
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 9691772
    Abstract: A semiconductor memory device includes a transistor and a capacitor. The transistor includes: an insulating film in which a groove portion is provided; a pair of electrodes separated so that the groove portion is sandwiched therebetween; an oxide semiconductor film which is in contact with the pair of electrodes and side surfaces and a bottom surface of the groove portion and has a thickness value smaller than a depth value of the groove portion; a gate insulating film covering the oxide semiconductor film; and a gate electrode provided to overlap with the oxide semiconductor film with the gate insulating film positioned therebetween.
    Type: Grant
    Filed: February 22, 2012
    Date of Patent: June 27, 2017
    Assignee: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Kosei Noda, Yuta Endo
  • Patent number: 9679628
    Abstract: To provide a memory cell for storing multilevel data that is less likely to be affected by variations in characteristics of transistors and that is capable of easily writing multilevel data in a short time and accurately reading it out. In writing, a current corresponding to multilevel data is supplied to the transistor in the memory cell and stored as the gate-drain voltage of the transistor in the memory cell. In reading, a current is supplied to the transistor in the transistor with the stored gate-drain voltage, and the multilevel data is obtained from the voltage supplied to generate a current that is equal to the current.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: June 13, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yoshiyuki Kurokawa
  • Patent number: 9660021
    Abstract: A semiconductor device having a vertical drain extended MOS transistor may be formed by forming deep trench structures to define vertical drift regions of the transistor, so that each vertical drift region is bounded on at least two opposite sides by the deep trench structures. The deep trench structures are spaced so as to form RESURF regions for the drift region. Trench gates are formed in trenches in the substrate over the vertical drift regions. The body regions are located in the substrate over the vertical drift regions.
    Type: Grant
    Filed: January 11, 2017
    Date of Patent: May 23, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Marie Denison, Sameer Pendharkar, Guru Mathur
  • Patent number: 9659857
    Abstract: The present disclosure provides a method for forming an integrated circuit (IC) structure. The method comprises providing a substrate including a conductive feature; forming aluminum (Al)-containing dielectric layer on the conductive feature; forming a low-k dielectric layer on the Al-containing dielectric layer; and etching the low-k dielectric layer to form a contact trench aligned with the conductive feature. A bottom of the contact trench is on a surface of the Al-containing dielectric layer.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: May 23, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsin-Yen Huang, Kai-Fang Cheng, Chi-Lin Teng, Hai-Ching Cheng, Tien-I Bao
  • Patent number: 9620500
    Abstract: A series-connected transistor structure includes a first source, a first channel-drain structure, a second channel-drain structure, a gate dielectric layer, a gate, a first drain pad and a second drain pad. The first source is over a substrate. The first channel-drain structure is over the first source and includes a first channel and a first drain thereover. The second channel-drain structure is over the first source and substantially parallel to the first channel-drain structure and includes a second channel and a second drain thereover. The gate dielectric layer surrounds the first channel and the second channel. The gate surrounds the gate dielectric layer. The first drain pad is over and in contact with the first drain. The second drain pad is over and in contact with the second drain, in which the first drain pad and the second drain pad are separated from each other.
    Type: Grant
    Filed: May 18, 2016
    Date of Patent: April 11, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chin-Chi Wang, Chien-Chih Lee, Tien-Wei Chiang, Ching-Wei Tsai, Chih-Ching Wang, Jon-Hsu Ho, Wen-Hsing Hsieh
  • Patent number: 9595565
    Abstract: The present invention relates to a memory structure, which is a kind of resistive memory. A middle layer formed by a first dielectric film and a second dielectric film is included between the top and bottom electrodes. The material of the top electrode is iridium oxide. Thereby, preferred oxygen vacancy filament paths can be provided and thus exhibiting complementary resistive switching of memory arrays. Furthermore, the memory structure can be applied to biological tests.
    Type: Grant
    Filed: April 18, 2016
    Date of Patent: March 14, 2017
    Assignee: Chang Gung University
    Inventors: Siddheswar Maikap, Subhranu Samanta
  • Patent number: 9548178
    Abstract: A fuse structure includes a substrate, a fuse element, and an auxiliary device. The fuse element is disposed on the substrate. The auxiliary device includes a source region and a drain region respectively disposed at two opposite sides of the fuse element. The auxiliary device is configured to monitor and diagnose the fuse element. The source region and the drain region are electrically isolated from the fuse element. A monitoring method of the fuse structure includes following steps. A drain voltage signal is applied to the drain region of the auxiliary device, a gate voltage signal is applied to the fuse element, and a signal from the source region is analyzed to diagnose a condition of the fuse element.
    Type: Grant
    Filed: December 30, 2014
    Date of Patent: January 17, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Yu-Hsiang Shu
  • Patent number: 9520348
    Abstract: A semiconductor device includes a pair of line patterns disposed on a substrate. A contact plug is disposed between the pair of line patterns and an air gap is disposed between the contact plug and the line patterns. A landing pad extends from a top end of the contact plug to cover a first part of the air gap and an insulating layer is disposed on a second part of the air gap, which is not covered by the landing pad.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: December 13, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byoungdeog Choi, JungWoo Seo, Sangyeon Han, Hyun-Woo Chung, Hongrae Kim, Yoosang Hwang
  • Patent number: 9484067
    Abstract: A circuit includes a capacitor and a memory element. The capacitor includes a first conductive layer, a first terminal, and a second terminal. The first conductive layer includes a first plurality of bars extending along a first direction and parallel to one another, where two adjacent bars of the first plurality of bars have a first capacitance therebetween. The first terminal is coupled with a first bar of the two adjacent bars, and the second terminal is coupled with a second bar of the two adjacent bars. The memory element has an input coupled with the first terminal and an output coupled with the second terminal. The capacitor is configured to inhibit changing a logic state at the input of the memory element.
    Type: Grant
    Filed: July 30, 2014
    Date of Patent: November 1, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ching-Hao Shaw, Subramani Kengeri
  • Patent number: 9478543
    Abstract: A low side control circuit and a high side control circuit are disposed in first and second n type well regions, respectively. A third n? type well region is formed around the second n type well region. The first n? type well region is formed outside the second n? type well region. A p type well region is formed around the third n? type well region. The third n? type well region and the p type well region constitute an HVJT between the first and second n type well regions. A p+ type contact region and a first electrode supplied with GND potential are formed in the p type well region. In the p type well region, an n+ type contact region and a second electrode supplied with L-VDD potential higher than the GND potential are formed between the HVJT and the p+ type contact region.
    Type: Grant
    Filed: April 21, 2015
    Date of Patent: October 25, 2016
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventor: Masaharu Yamaji
  • Patent number: 9466392
    Abstract: A memory array includes a first memory page and a second memory page. The first memory page includes a first word line, a first select gate line, a first control line, a first erase line, and a plurality of first memory cells each coupled to the first word line, the first select gate line, the first control line, and the first erase line, and for receiving a bit line signal and a source line signal. The second memory page includes a second control line, a second erase line, and a plurality of second memory cells each coupled to the first word line, the first select gate line, the second control line, and the second erase line, and for receiving a bit line signal and a source line signal.
    Type: Grant
    Filed: October 13, 2015
    Date of Patent: October 11, 2016
    Assignee: eMemory Technology Inc.
    Inventors: Wei-Chen Chang, Wen-Hao Ching, Chih-Hsin Chen, Shih-Chen Wang, Ching-Sung Yang
  • Patent number: 9425079
    Abstract: A metal-oxide-semiconductor field-effect transistor (MOSFET) with integrated passive structures and methods of manufacturing the same is disclosed. The method includes forming a stacked structure in an active region and at least one shallow trench isolation (STI) structure adjacent to the stacked structure. The method further includes forming a semiconductor layer directly in contact with the at least one STI structure and the stacked structure. The method further includes patterning the semiconductor layer and the stacked structure to form an active device in the active region and a passive structure of the semiconductor layer directly on the at least one STI structure.
    Type: Grant
    Filed: September 24, 2015
    Date of Patent: August 23, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony I. Chou, Arvind Kumar, Renee T. Mo, Shreesh Narasimha
  • Patent number: 9419090
    Abstract: An interconnect structure is provided. The interconnect structure includes a substrate; and at least a first interconnect component having a first contact region and a second interconnect component having a second contact region. The interconnect structure also includes an interlayer dielectric layer formed on the semiconductor substrate at a same layer as the first interconnect component and the second interconnect component. Further, the interconnect structure includes an interconnect line layer electrically connecting the first contact region and the second contact region formed inside the interlayer dielectric layer.
    Type: Grant
    Filed: November 12, 2014
    Date of Patent: August 16, 2016
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Yunchu Yu, Yihua Shen
  • Patent number: 9412642
    Abstract: A barrier for preventing a bridge between adjacent storage node contacts is formed below a bit line located between the bit line contacts, so that a contact region between each storage node contact and an active region is increased in size. The semiconductor device includes a device isolation film defining an active region, a bit line contact coupling the active region to a bit line, and a barrier formed below the bit line located between the bit line contacts.
    Type: Grant
    Filed: April 24, 2015
    Date of Patent: August 9, 2016
    Assignee: SK HYNIX INC.
    Inventor: In Seung Chung
  • Patent number: 9362125
    Abstract: A semiconductor process is described. A semiconductor substrate having a memory area, a first device area and a second device area is provided. A patterned charge-trapping layer is formed on the substrate, covering the memory area and the second device area but exposing the first device area. A first gate oxide layer is formed in the first device area. The charge-trapping layer in the second device area is removed. A second gate oxide layer is formed in the second device area.
    Type: Grant
    Filed: August 7, 2014
    Date of Patent: June 7, 2016
    Assignee: United Microelectronics Corp.
    Inventors: Yuan-Hsiang Chang, Yi-Shan Chiu, Zhen Chen, Wei Ta, Wei-Chang Liu
  • Patent number: 9337139
    Abstract: Disclosed herein is a device that includes: first and second memory cell arrays arranged in a first direction; a plurality of first bump electrodes disposed between the first and second memory cell arrays and arranged in line in a second direction crossing the first direction; a plurality of second bump electrodes disposed between the first bump electrodes and the second memory cell arrays and arranged in line in the second direction; a first area being between the first and second bump electrodes; a plurality of third bump electrodes disposed in the first area; and a first capacitor formed in the third area.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: May 10, 2016
    Assignee: PS4 Luxco S.a.r.l.
    Inventors: Tomohiro Kitano, Hisayuki Nagamine
  • Patent number: 9324784
    Abstract: An electronic device can include an electronic component and a termination region adjacent to the electronic component region. In an embodiment, the termination region can include an insulating region that extends a depth into a semiconductor layer, wherein the depth is less than 50% of the thickness of the semiconductor layer. In another embodiment, the termination region can include a first insulating region that extends a first depth into the semiconductor layer, and a second insulating region that extends a second depth into the semiconductor layer, wherein the second depth is less than the first depth. In another aspect, a process of forming an electronic device can include patterning a semiconductor layer to define a trench within termination region while another trench is being formed for an electronic component within an electronic component region.
    Type: Grant
    Filed: April 10, 2014
    Date of Patent: April 26, 2016
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Jaume Roig Guitart, Zia Hossain, Peter Moens
  • Patent number: 9305881
    Abstract: A gate metal structure and a forming method of the same are provided. The gate metal structure includes: a substrate and a copper metal layer; and a barrier layer disposed between the substrate and the copper metal layer, the barrier layer being formed of silicon oxynitride SiON or silicon oxide SiOx. By disposing a SiON or SiOx barrier layer between the substrate and the copper metal layer, conductivity and adhesion can be enhanced while reducing diffusion of copper when copper is used as the conductive metal layer material.
    Type: Grant
    Filed: January 6, 2014
    Date of Patent: April 5, 2016
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventor: Xiangyang Xu
  • Patent number: 9276209
    Abstract: An integrated circuit structure includes a semiconductor substrate; a diode; and a phase change element over and electrically connected to the diode. The diode includes a first doped semiconductor region of a first conductivity type, wherein the first doped semiconductor region is embedded in the semiconductor substrate; and a second doped semiconductor region over and adjoining the first doped semiconductor region, wherein the second doped semiconductor region is of a second conductivity type opposite the first conductivity type.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: March 1, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fang-Shi Jordan Lai, ChiaHua Ho, Fu-Liang Yang
  • Patent number: 9269766
    Abstract: A device and a method for forming a device are presented. The method includes providing a substrate having an array region in which memory cells are to be formed. Storage gates of the memory cells are formed in the array region. A guard ring surrounding the array region is formed. A gate electrode layer is formed on the substrate. The gate electrode layer fills gaps between the storage gates and guard ring. The gate electrode layer is planarized to produce a planar surface between the gate electrode layer, storage gates and guard ring. The guard ring maintains thickness of the gate electrode layer in the array region such that thickness of the storage gates across center and edge regions of the array region is uniform.
    Type: Grant
    Filed: September 18, 2014
    Date of Patent: February 23, 2016
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Ling Wu, Jianbo Yang, Kian Hong Lim, Sung Mun Jung
  • Patent number: 9257501
    Abstract: A semiconductor substrate of a semiconductor device includes a first conductive body region that is formed in the element region; a second conductive drift region that is formed in the element region; a gate electrode that is formed in the element region, that is arranged in a gate trench, and that faces the body region; an insulating body that is formed in the element region and is arranged between the gate electrode and an inside wall of the gate trench; a first conductive floating region that is formed in the element region and that is surrounded by the drift region; a first voltage-resistance retaining structure that is formed in the peripheral region and that surrounds the element region; and a gate pad that is formed in the peripheral region, and is electrically connected to the gate electrode in a position on the element region-side of the first voltage-resistance retaining structure.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: February 9, 2016
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Hidefumi Takaya, Masaru Nagao, Narumasa Soejima