With Plural, Separately Connected, Gate Electrodes In Same Device Patents (Class 257/365)
  • Patent number: 9882027
    Abstract: Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions are described. For example, a semiconductor structure includes a plurality of parallel semiconductor fins disposed above and continuous with a semiconductor substrate. An isolation structure is disposed above the semiconductor substrate and adjacent to lower portions of each of the plurality of parallel semiconductor fins. An upper portion of each of the plurality of parallel semiconductor fins protrudes above an uppermost surface of the isolation structure. Epitaxial source and drain regions are disposed in each of the plurality of parallel semiconductor fins adjacent to a channel region in the upper portion of the semiconductor fin. The epitaxial source and drain regions do not extend laterally over the isolation structure.
    Type: Grant
    Filed: March 27, 2014
    Date of Patent: January 30, 2018
    Assignee: Intel Corporation
    Inventors: Szuya S. Liao, Michael L. Hattendorf, Tahir Ghani
  • Patent number: 9875941
    Abstract: A method for fabricating semiconductor device is disclosed. First, a first fin-shaped structure and a second fin-shaped structure are formed on a substrate, and a shallow trench isolation (STI) is formed around the first fin-shaped structure and the second fin-shaped structure, a patterned hard mask is formed on the STI. Next, part of the first fin-shaped structure and part of the second fin-shaped structure adjacent to two sides of the patterned hard mask are removed for forming a first recess and a second recess, and a dielectric material is formed into the first recess and the second recess.
    Type: Grant
    Filed: October 11, 2016
    Date of Patent: January 23, 2018
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Ching-Wen Hung, Chih-Sen Huang
  • Patent number: 9865599
    Abstract: A method of fabricating a CMOS integrated circuit (IC) includes implanting a first n-type dopant at a first masking level that exposes a p-region of a substrate surface having a first gate stack thereon to form NLDD regions for forming n-source/drain extension regions for at least a portion of a plurality of n-channel MOS (NMOS) transistors on the IC. A p-type dopant is implanted at a second masking level that exposes an n-region in the substrate surface having a second gate stack thereon to form PLDD regions for at least a portion of a plurality of p-channel MOS (PMOS) transistors on the IC. A second n-type dopant is retrograde implanted including through the first gate stack to form a deep nwell (DNwell) for the portion of NMOS transistors. A depth of the DNwell is shallower below the first gate stack as compared to under the NLDD regions.
    Type: Grant
    Filed: February 5, 2015
    Date of Patent: January 9, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Mahalingam Nandakumar
  • Patent number: 9859427
    Abstract: A semiconductor device includes a substrate, a fin structure disposed over the substrate and including a channel region and a source/drain region, a gate structure disposed over at least a portion of the fin structure, the channel region being beneath the gate structure and the source/drain region being outside of the gate structure, a strain material layer disposed over the source/drain region, the strain material layer providing stress to the first channel region, and a contact layer wrapping around the first strain material layer. A width of the source/drain region is smaller than a width of the channel region.
    Type: Grant
    Filed: September 29, 2016
    Date of Patent: January 2, 2018
    Assignee: Tawain Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung-Li Chiang, Cheng-Yi Peng, Jyh-Cherng Sheu, Yee-Chia Yeo
  • Patent number: 9837500
    Abstract: Provided is a semiconductor device. In some examples, the semiconductor device includes an fin active region protruding from a substrate, gate patterns disposed on the fin active region, a source/drain region disposed on the fin active region between the gate patterns, and contact patterns disposed on the source/drain region. The source/drain region may have a protruding middle section, which may form a wave-shaped upper surface of the source/drain region.
    Type: Grant
    Filed: January 20, 2016
    Date of Patent: December 5, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyunjung Lee, Keumseok Park, Jinyeong Joe, Yong-Suk Tak
  • Patent number: 9806094
    Abstract: Field effect transistor stacks include a first field-effect transistor having a source finger, a drain finger, and a gate finger interposed therebetween, the source finger and the drain finger of the first field-effect transistor being separated by a first drain-to-source distance, and a second field-effect transistor in a series connection with the first field-effect transistor, the second field-effect transistor having a source finger, a drain finger, and a gate finger interposed therebetween, the source finger and the drain finger of the second field-effect transistor being separated by a second drain-to-source distance that is different than the first drain-to-source distance.
    Type: Grant
    Filed: August 18, 2016
    Date of Patent: October 31, 2017
    Assignee: Skyworks Solutions, Inc.
    Inventor: David Scott Whitefield
  • Patent number: 9793285
    Abstract: The present invention relates to a method for preparing vacuum tube flash memory structure, to form a vacuum channel in the flash memory, and using oxide-nitride-oxide (ONO) composite materials as gate dielectric layer, wherein the nitride layer serves as a charge-trap layer to provide a blocking insulating between the gate electrode and the vacuum channel. The present structure exhibits superior program and erase speed as well as the retention time. It also provide with excellent gate controllability and negligible gate leakage current due to adoption ONO as the gate dielectric layer.
    Type: Grant
    Filed: July 5, 2016
    Date of Patent: October 17, 2017
    Assignee: ZING SEMICONDUCTOR CORPORATION
    Inventor: Deyuan Xiao
  • Patent number: 9786764
    Abstract: A semiconductor device includes an active fin formed to extend in a first direction, a gate formed on the active fin and extending in a second direction crossing the first direction, a source/drain formed on upper portions of the active fin and disposed at one side of the gate, an interlayer insulation layer covering the gate and the source/drain, a source/drain contact passing through the interlayer insulation layer to be connected to the source/drain and including a first contact region and a second contact region positioned between the source/drain and the first contact region, and a spacer layer formed between the first contact region and the interlayer insulation layer. A width of the second contact region in the first direction is greater than the sum of a width of the first contact region in the first direction and a width of the spacer layer in the first direction.
    Type: Grant
    Filed: October 22, 2015
    Date of Patent: October 10, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Chan-Jin Park, Chung-Hwan Shin, Sung-Woo Kang, Young-Mook Oh, Sun-Jung Lee, Jeong-Nam Han
  • Patent number: 9786767
    Abstract: A functional gate structure is located on a surface of a semiconductor material portion and including a U-shaped gate dielectric portion and a gate conductor portion. A source region is located on one side of the functional gate structure, and a drain region is located on another side of the functional gate structure. The source region and drain region both have a topmost surface that is above a topmost surface of the semiconductor material portion and another surface that touches a portion of the U-shaped gate dielectric. A contact structure is located on the topmost surface of the source region and/or the drain region. A middle-of-the-line air gap contact is located between the contact structure and the functional gate structure and above at least one of the source region and the drain region. The middle-of-the-line air gap contact is sealed by a portion of a conformal dielectric material.
    Type: Grant
    Filed: October 14, 2016
    Date of Patent: October 10, 2017
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 9780185
    Abstract: A method of forming a gate structure for a semiconductor device that includes forming first spacers on the sidewalls of replacement gate structures that are present on a fin structure, wherein an upper surface of the first spacers is offset from an upper surface of the replacement gate structure, and forming at least second spacers on the first spacers and the exposed surfaces of the replacement gate structure. The method may further include substituting the replacement gate structure with a functional gate structure having a first width portion in a first space between adjacent first spacers, and a second width portion having a second width in a second space between adjacent second spacers, wherein the second width is greater than the first width.
    Type: Grant
    Filed: August 9, 2016
    Date of Patent: October 3, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, GLOBALFOUNDRIES INC.
    Inventors: Hyun-Jin Cho, Tenko Yamashita, Hui Zang
  • Patent number: 9768168
    Abstract: A fin-type field effect transistor comprising a substrate, at least one gate structure, spacers and source and drain regions is described. The substrate has a plurality of fins and a plurality of insulators disposed between the fins. The source and drain regions are disposed on two opposite sides of the at least one gate structure. The gate structure is disposed over the plurality of fins and disposed on the plurality of insulators. The gate structure includes a stacked strip disposed on the substrate and a gate electrode stack disposed on the stacked strip. The spacers are disposed on opposite sidewalls of the gate structure, and the gate electrode stack contacts with sidewalls of the opposite spacers.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: September 19, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Che-Cheng Chang, Chih-Han Lin
  • Patent number: 9761697
    Abstract: A semiconductor device comprises a substrate, a gate structure and a gate spacer. The substrate has a semiconductor fin protruding from a surface of the substrate. The gate structure is disposed on the semiconductor fin. The gate spacer is disposed on sidewalls of the gate structure, wherein the gate spacer comprises a first material layer and a second material layer stacked with each other and both of these two material layers are directly in contact with the gate structure.
    Type: Grant
    Filed: June 29, 2016
    Date of Patent: September 12, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Jhen-Cyuan Li, Shui-Yen Lu
  • Patent number: 9748237
    Abstract: Disclosed herein is a driver circuit including first and second n-channel transistors connected together in series between first and second nodes. The first n-channel transistor is comprised of n fin transistor(s) having an identical gate length and an identical gate width where n is equal to or greater than one, and has its gate connected to a first input node. The second n-channel transistor is comprised of m fin transistors having the same gate length and the same gate width where m is greater than n, and has its gate connected to a second input node.
    Type: Grant
    Filed: March 24, 2016
    Date of Patent: August 29, 2017
    Assignee: Socionext, Inc.
    Inventor: Hiroyuki Shimbo
  • Patent number: 9748394
    Abstract: Structures and formation methods of a semiconductor device structure are provided. The semiconductor device structure includes a fin structure over a semiconductor substrate. The semiconductor device structure also includes a gate stack covering a portion of the fin structure. The gate stack includes a first portion and a second portion adjacent to the fin structure, and the first portion is wider than the second portion.
    Type: Grant
    Filed: July 30, 2015
    Date of Patent: August 29, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Che-Cheng Chang, Chih-Han Lin
  • Patent number: 9741722
    Abstract: Trench capacitors can be formed between lengthwise sidewalls of semiconductor fins, and source and drain regions of access transistors are formed in the semiconductor fins. A dummy gate structure is formed between end walls of a neighboring pair of semiconductor fins, and limits the lateral extent of raised source and drain regions that are formed by selective epitaxy. The dummy gate structure prevents electrical shorts between neighboring semiconductor fins. Gate spacers can be formed around gate structures and the dummy gate structures. The dummy gate structures can be replaced with dummy replacement gate structures or dielectric material portions, or can remain the same without substitution of any material. The dummy gate structures may consist of at least one dielectric material, or may include electrically floating conductive material portions.
    Type: Grant
    Filed: October 3, 2015
    Date of Patent: August 22, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John E. Barth, Jr., Kangguo Cheng, Bruce B. Doris, Herbert L. Ho, Ali Khakifirooz, Babar A. Khan, Shom Ponoth, Kern Rim, Kehan Tian, Reinaldo A. Vega
  • Patent number: 9728643
    Abstract: A semiconductor device including a fin active region protruding from a substrate and an isolation region defining the fin active region, a gate pattern intersecting the fin active region and the isolation region, and gate spacer formed on a side surface of the gate pattern and extending onto a surface of the isolation region is provided.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: August 8, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byungjae Park, Heonjong Shin, Hagju Cho, Kyounghwan Yeo
  • Patent number: 9721952
    Abstract: A semiconductor device includes an interlayer insulating film formed on a substrate and including a trench, a gate insulating film formed in the trench, a work function adjusting film formed on the gate insulating film in the trench along sidewalls and a bottom surface of the trench, and including an inclined surface having an acute angle with respect to the sidewalls of the trench, and a metal gate pattern formed on the work function adjusting film in the trench to fill up the trench.
    Type: Grant
    Filed: March 23, 2016
    Date of Patent: August 1, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Youn Kim, Kwang-You Seo
  • Patent number: 9716161
    Abstract: A semiconductor structure and a method for forming the same are provided. The semiconductor structure includes a metal gate structure having curved sidewalls formed over a substrate. The semiconductor structure further includes spacers formed on the curved sidewalls of the metal gate structure. In addition, each curved sidewall of the metal gate structure has a top portion, a middle portion, and a bottom portion, and an angle between the middle portion and the bottom portion of the curved sidewall of the metal gate structure is smaller than 180° C.
    Type: Grant
    Filed: October 1, 2014
    Date of Patent: July 25, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Kai-Li Cheng, Che-Cheng Chang
  • Patent number: 9698267
    Abstract: A transistor is disclosed and includes forming a gate of a transistor within a substrate having a surface and a buried oxide (BOX) layer within the substrate and adjacent to the gate at a first BOX layer face. The method also includes a raised source-drain channel (“fin”), where at least a portion of the fin extends from the surface of the substrate, and where the fin has a first fin face adjacent to a second BOX layer face of the BOX layer.
    Type: Grant
    Filed: July 1, 2014
    Date of Patent: July 4, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Stanley Seungchul Song, Mohamed Hassan Abu-Rahma, Beom-Mo Han
  • Patent number: 9698020
    Abstract: A method of forming a semiconductor device is disclosed in various embodiments. The method includes providing a substrate containing first and second device regions, and a high-k film on the substrate, depositing a metal nitride gate electrode film on the high-k film, forming a metal-containing gate electrode film on the metal nitride gate electrode film in the second device region but not in the first device region, and depositing a Si-based cap layer on the metal-containing gate electrode film in the second device region and on the metal nitride gate electrode film in the first device region.
    Type: Grant
    Filed: October 20, 2015
    Date of Patent: July 4, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Genji Nakamura, Toshio Hasegawa
  • Patent number: 9691899
    Abstract: A semiconductor structure is provided, comprising a substrate (130), a support structure (131), a base region (100), a gate stack, a spacer (240), and a source/drain region, wherein the gate stack is located above the base region (100), and the base region (100) is supported above the substrate (130) by the support structure (131), wherein the support structure (131) has a sigma-shaped lateral cross-section; an isolation structure (123) is formed below edges on both sides of the base region (100), wherein a portion of the isolation structure (123) is connected to the substrate (130); a cavity (112) is formed between the isolation structure (123) and the support structure (131); and a source/drain region is formed on both sides of the base region (100) and the isolation structure (123). Accordingly, a method for manufacturing the semiconductor structure is also provided.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: June 27, 2017
    Inventors: Huilong Zhu, Haizhou Yin, Zhijiong Luo
  • Patent number: 9660057
    Abstract: Methods and structures for forming a reduced resistance region of a finFET are described. According to some aspects, a dummy gate and first gate spacer may be formed above a fin comprising a first semiconductor composition. At least a portion of source and drain regions of the fin may be removed, and a second semiconductor composition may be formed in the source and drain regions in contact with the first semiconductor composition. A second gate spacer may be formed covering the first gate spacer. The methods may be used to form finFETs having reduced resistance at source and drain junctions.
    Type: Grant
    Filed: June 17, 2014
    Date of Patent: May 23, 2017
    Assignees: STMicroelectronics, Inc., International Business Machines Corporation, GLOBALFOUNDRIES Inc.
    Inventors: Qing Liu, Ruilong Xie, Chun-chen Yeh, Xiuyu Cai, Kejia Wang
  • Patent number: 9653609
    Abstract: A thin film transistor including a substrate; a first gate electrode on the substrate; a first insulating layer covering the substrate and the first gate electrode; a semiconductor on the first insulating layer and overlapping the first gate electrode; a second insulating layer covering the first insulating layer and the semiconductor; a second gate electrode on the second insulating layer and crossing the first gate electrode in plane; a third insulating layer covering the second gate electrode and the second insulating layer; a first source electrode and a first drain electrode on the third insulating layer and connected to the semiconductor; and a second source electrode and a second drain electrode on a same layer as the first source electrode and the first drain electrode and connected to the semiconductor.
    Type: Grant
    Filed: May 21, 2015
    Date of Patent: May 16, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Hee jun Yoo, Sang Ho Park, Joung-Keun Park, Ki Wan Ahn, Joo Sun Yoon, Seung Min Lee, Yong Jae Jang, Jae Hyuk Jang, Kwang Young Choi, Jung Hyun Kim
  • Patent number: 9640101
    Abstract: There is provided a display apparatus including a display panel where display elements connected to a scanning line and a signal line are arrayed in a two dimensional matrix, and a driving circuit unit configured to drive the display panel, the driving circuit unit including a gate driver configured to feed a scanning signal to the scanning line such that a back gate voltage of a field effect transistor configuring an output buffer for generating the scanning signal is capable of controlling. Also, there is provided an electronic device including the display apparatus.
    Type: Grant
    Filed: February 19, 2015
    Date of Patent: May 2, 2017
    Assignee: JOLED Inc.
    Inventors: Takeshi Aoki, Iwao Ushinohama
  • Patent number: 9633854
    Abstract: The present disclosure discloses a MOSFET and a method for manufacturing the same, wherein the MOSFET comprises: an SOI wafer comprising a semiconductor substrate, a buried insulating layer, and a semiconductor layer, the buried insulating layer being disposed on the semiconductor substrate, and the semiconductor layer being disposed on the buried insulating layer; a gate stack disposed on the semiconductor layer; a source region and a drain region embedded in the semiconductor layer and disposed on both sides of the gate stack; and a channel region embedded in the semiconductor layer and sandwiched between the source region and the drain region, wherein the MOSFET further comprises a back gate and a counter doped region, and wherein the back gate is embedded in the semiconductor substrate, the counter doped region is disposed under the channel region and embedded in the back gate, and the back gate has a doping type opposite to that of the counter doped region.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: April 25, 2017
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Miao Xu, Qingqing Liang
  • Patent number: 9634143
    Abstract: One disclosed method includes forming a fin in a substrate by etching a plurality of fin-formation trenches, forming a layer of insulating material in the trenches, performing a densification anneal process on the layer of insulating material and, after performing the densification anneal process, performing at least one ion implantation process to form a counter-doped well region in the fin. The method also includes forming an undoped semiconductor material on an exposed upper surface of the fin, recessing the insulating material so as to expose at least a portion of the undoped semiconductor material and forming a gate structure around the exposed portion of the undoped semiconductor material.
    Type: Grant
    Filed: July 21, 2016
    Date of Patent: April 25, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jeremy A. Wahl, Ryan W. Sporer
  • Patent number: 9627330
    Abstract: A nanowire device includes a first component formed on a substrate and a second component disposed apart from the first component on the substrate. A nanowire is configured to connect the first component to the second component. An anchor pad is formed along a span of the nanowire and configured to support the nanowire along the span to prevent sagging.
    Type: Grant
    Filed: July 13, 2015
    Date of Patent: April 18, 2017
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Isaac Lauer, Tenko Yamashita, Jeffrey W. Sleight
  • Patent number: 9601383
    Abstract: A semiconductor structure for a FinFET in fabrication is provided, the structure including a bulk semiconductor substrate initially with a hard mask over the substrate. Isolation trenches between regions of the structure where the fins will be are formed prior to the fins, and filled with selectively removable sacrificial isolation material. Remains of the hard mask are removed and another hard mask formed over the structure with filled isolation trenches. Fins are then formed throughout the structure, including the regions of sacrificial isolation material, which is thereafter selectively removed.
    Type: Grant
    Filed: November 16, 2015
    Date of Patent: March 21, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Murat Kerem Akarvardar
  • Patent number: 9583528
    Abstract: A MOS solid-state imaging device is provided in which withstand voltage and 1/f noise of a MOS transistor are improved. In the MOS solid-state imaging device whose unit pixel has at least a photoelectric converting portion and a plurality of field effect transistors, the thickness of gate insulating film in a part of the field effect transistors is different from the thickness of gate insulating film in the other field effect transistors among the plurality of the field effect transistors.
    Type: Grant
    Filed: October 27, 2015
    Date of Patent: February 28, 2017
    Assignee: SONY CORPORATION
    Inventors: Noriko Takagi, Hiroyuki Mori
  • Patent number: 9583621
    Abstract: Provided are a semiconductor device and a method of manufacturing the same. An example device may include: a fin formed on a substrate; a gate stack formed on the substrate and intersecting the fin, wherein the gate stack is isolated from the substrate by an isolation layer, and a Punch-Through Stopper (PTS) formed under the fin, including a first section directly under a portion of the fin where the fin intersects the gate stack and second sections on opposite sides of the first section, wherein the second sections each have a doping concentration lower than that of the first section.
    Type: Grant
    Filed: July 13, 2015
    Date of Patent: February 28, 2017
    Assignee: The Institute of Microelectronics of Chinese Academy of Sciences
    Inventor: Huilong Zhu
  • Patent number: 9576978
    Abstract: A semiconductor integrated circuit (IC) may comprise at least one cell comprising at least one fin field-effect transistor (FET). The at least one cell may comprise a plurality of fins that extend in a first direction and are arranged in parallel to each other in a second direction that is perpendicular to the first direction. A size of the at least one cell in the second direction may correspond to a number and a pitch of the plurality of fins.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: February 21, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-hoon Baek, Sang-Kyu Oh
  • Patent number: 9570579
    Abstract: Semiconductor devices that each include a channel region and a gate stack are disclosed. The gate stack includes a gate insulator, a pair of spaced apart first metal gate layers, and a second metal gate layer. The gate insulator extends along the length of the channel region. The first metal gate layers have a first workfunction and extend from the gate insulator. The second metal gate layer is disposed between the first metal gate layers, has a second workfunction different from the first workfunction, and extends from the gate insulator. Methods of fabricating the gate stack are also disclosed.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: February 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Jean-Pierre Colinge, Carlos H. Diaz
  • Patent number: 9564445
    Abstract: Trench capacitors can be formed between lengthwise sidewalls of semiconductor fins, and source and drain regions of access transistors are formed in the semiconductor fins. A dummy gate structure is formed between end walls of a neighboring pair of semiconductor fins, and limits the lateral extent of raised source and drain regions that are formed by selective epitaxy. The dummy gate structure prevents electrical shorts between neighboring semiconductor fins. Gate spacers can be formed around gate structures and the dummy gate structures. The dummy gate structures can be replaced with dummy replacement gate structures or dielectric material portions, or can remain the same without substitution of any material. The dummy gate structures may consist of at least one dielectric material, or may include electrically floating conductive material portions.
    Type: Grant
    Filed: January 20, 2014
    Date of Patent: February 7, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John E. Barth, Jr., Kangguo Cheng, Bruce B. Doris, Herbert L. Ho, Ali Khakifirooz, Babar A. Khan, Shom Ponoth, Kern Rim, Kehan Tian, Reinaldo A. Vega
  • Patent number: 9559192
    Abstract: A method of fabricating a semiconductor device includes forming a first fin-shaped pattern having a first fin mask pattern disposed thereon on a substrate, forming a second fin-shaped pattern having a second fin mask pattern disposed thereon on the substrate, forming a first trench by removing the first fin mask pattern, forming a fin-cut mask pattern filling the first trench, and removing the second fin mask pattern and the second fin-shaped pattern using the fin-cut mask pattern as a first etch mask.
    Type: Grant
    Filed: November 18, 2015
    Date of Patent: January 31, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Yong-Woo Lee
  • Patent number: 9536989
    Abstract: Device structures and fabrication methods for a fin-type field-effect transistor. A first fin and a second fin are formed that are comprised of a semiconductor material that is single crystal. The first fin has a sidewall facing a sidewall of the second fin. A portion of a source/drain region of the first fin is damaged to form a damage region in the portion of the first fin. After the damage region is formed, a section of a semiconductor layer is epitaxially grown from the sidewall of the first fin in the source/drain region. The semiconductor material in the damage region has a level of crystalline disorder that is greater than a level of crystalline disorder of the semiconductor material in a portion of the first fin that is not damaged.
    Type: Grant
    Filed: February 15, 2016
    Date of Patent: January 3, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Viorel Ontalus, Annie Lévesque
  • Patent number: 9530732
    Abstract: A semiconductor device includes a plurality of first wires and a plurality of second wires. Each of the first wires forms a closed polygon and surrounds a center. Each of the second wires is forming the closed polygon and surrounding the center. The first and second wires are interlaced, and none of the first wires and second wires are coupled to each other.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: December 27, 2016
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Hsiung-Shih Chang, Pei-Heng Hung
  • Patent number: 9526436
    Abstract: Neural signal amplifiers include an operational amplifier and a feedback network coupled between an output and an input thereof. The feedback network includes a tunnel field effect transistor (“TFET”) pseudo resistor that exhibits bi-directional conductivity. A drain region of the TFET may be electrically connected to the gate electrode thereof to provide a bi-directional resistor having good symmetry in terms of resistance as a function of voltage polarity.
    Type: Grant
    Filed: June 3, 2015
    Date of Patent: December 27, 2016
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Nuo Xu, Jing Wang, Woosung Choi
  • Patent number: 9525062
    Abstract: An insulated gate switching element includes: a semiconductor substrate; a gate insulating film disposed on a surface of the semiconductor substrate; and a gate electrode disposed on the gate insulating film. The semiconductor substrate includes a first semiconductor region, a base region, and a second semiconductor region. The gate electrode faces the base region with the gate insulating film interposed therebetween. A high-resistance region, which is separated from the gate insulating film and has higher resistance to a number of carriers of a first conduction type semiconductor than that of the base region, is disposed in at least one of a first interface which is an interface between the base region and the first semiconductor region and a second interface which is an interface between the base region and the second semiconductor region.
    Type: Grant
    Filed: April 20, 2016
    Date of Patent: December 20, 2016
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Takashi Ishida, Takashi Okawa
  • Patent number: 9515088
    Abstract: A semiconductor structure is provided with fins on a substrate, including: a first active layer with a first source, first channel, and first drain, each doped with the same concentration of dopant as each other; a dielectric layer on the first active layer; a second active layer with a second source, second channel, and second drain, each doped with the same concentration of dopant as each other; and a first and second gate disposed on an opposing first and second sidewall of the channels, respectively. A method for making such a semiconductor structure is also provided.
    Type: Grant
    Filed: February 10, 2016
    Date of Patent: December 6, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Murat Kerem Akarvardar
  • Patent number: 9508602
    Abstract: Semiconductor structures and methods of fabrication are provided for, for instance, inhibiting diffusion of active dopant within a semiconductor material. A diffusion-suppressing dopant is implanted via, an implanting process under controlled temperature, into a semiconductor material of a semiconductor structure to define a diffusion-suppressed region within the semiconductor material. One or more active regions are established within the diffusion-suppressed region of the semiconductor structure by, for example, implanting an active dopant into the semiconductor material. The implanting of the diffusion-suppressing dopant facilitates inhibiting diffusion of the active dopant within the diffusion-suppressed region.
    Type: Grant
    Filed: January 9, 2015
    Date of Patent: November 29, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Mitsuhiro Togo
  • Patent number: 9502417
    Abstract: A semiconductor device includes a substrate including a first active region, a second active region and a field region between the first and second active regions, and a gate structure formed on the substrate to cross the first active region, the second active region and the field region. The gate structure includes a p type metal gate electrode and an n-type metal gate electrode directly contacting each other, the p-type metal gate electrode extends from the first active region less than half way toward the second active region.
    Type: Grant
    Filed: February 18, 2016
    Date of Patent: November 22, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Youn Kim, Hyung-Soon Jang, Jong-Mil Youn, Tae-Won Ha
  • Patent number: 9496336
    Abstract: A method of manufacturing a semiconductor device which can prevent leakage current caused by gate electrodes intersecting element isolation layers in a major axis of an active region, and which further has vertical channels to provide a sufficient overlap margin, and a semiconductor device manufactured using the above method. The device includes gate electrodes formed on element isolation layers that are disposed between active regions and have top surfaces that are higher than the top surfaces of the active regions. Since the gate electrodes are formed on the element isolation layers, leakage current in a semiconductor substrate is prevented. In addition, the gate electrodes are formed using a striped shape mask pattern, thereby obtaining a sufficient overlap margin compared to a contact shape or bar shape pattern.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: November 15, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Soo-ho Shin, Yong-sung Kim, Tae-young Chung
  • Patent number: 9490363
    Abstract: The present invention discloses a tunneling field effect transistor having a three-side source and a fabrication method thereof, referring to field effect transistor logic devices and circuits in CMOS ultra large scale integrated circuits (ULSI). By means of the strong depletion effect of the three-side source, the transistor can equivalently achieve a steep doping concentration gradient for the source junction, significantly optimizing the sub-threshold slope of the TFET. Meanwhile, the turn-on current of the transistor is boosted. Furthermore, due to a region uncovered by the gate between the gate and the drain, the bipolar conduction effect of the transistor is effectively inhibited, and on the other hand, in the small-size transistor a parasitic tunneling current at the corner of the source junction is inhibited. The fabrication method is simple and can be accurately controlled.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: November 8, 2016
    Assignee: Peking University
    Inventors: Ru Huang, Qianqian Huang, Chunlei Wu, Jiaxin Wang, Yangyuan Wang
  • Patent number: 9472636
    Abstract: The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a first gate structure and a second gate structure over a substrate. The first and second gate structures each include a high-k dielectric layer located over the substrate, a capping layer located over the high-k dielectric layer, an N-type work function metal layer located over the capping layer, and a polysilicon layer located over the N-type work function metal layer. The method includes forming an inter-layer dielectric (ILD) layer over the substrate, the first gate structure, and the second gate structure. The method includes polishing the ILD layer until a surface of the ILD layer is substantially co-planar with surfaces of the first gate structure and the second gate structure. The method includes replacing portions of the second gate structure with a metal gate. A silicidation process is then performed to the semiconductor device.
    Type: Grant
    Filed: July 7, 2015
    Date of Patent: October 18, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ming Zhu, Bao-Ru Young, Harry-Hak-Lay Chuang, Jyun-Ming Lin, Wei Cheng Wu
  • Patent number: 9472620
    Abstract: In a method for manufacturing a semiconductor device, a first semiconductor layer is formed over substrate. An etching stop layer is formed over the first semiconductor layer. A dummy layer is formed over the etching stop layer. Isolation regions are formed in the dummy layer, the etching stop layer and the first semiconductor layer. The dummy layer and the etching stop layer between the isolation regions are removed to form a space. The first semiconductor layer is exposed in the space. A second semiconductor layer is formed over the first semiconductor layer in the space. A third semiconductor layer is formed over the second semiconductor layer in the space. The isolation regions are recessed so that an upper portion of the third semiconductor layer is exposed.
    Type: Grant
    Filed: September 4, 2015
    Date of Patent: October 18, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Sheng-chen Wang, Sai-Hooi Yeong, Tsung-Chieh Hsiao
  • Patent number: 9455333
    Abstract: A thin film transistor array panel includes a substrate, a light blocking film disposed on the substrate, a buffer layer covering the light blocking film, and a channel region disposed on the buffer layer. A source region and a drain region are disposed in the same layer as the channel region. A gate insulating layer is disposed on the channel region, and a gate electrode overlaps the channel region, with the gate insulating layer interposed between the gate electrode and the channel region. A passivation layer is disposed on the gate electrode, the source region, the drain region, and the buffer layer. A source electrode and a drain electrode are disposed on the passivation layer, wherein the channel region, the source region, and the drain region comprise an oxide semiconductor, and wherein a carrier concentration of the source region and the drain region is larger than in the channel region.
    Type: Grant
    Filed: July 27, 2015
    Date of Patent: September 27, 2016
    Assignee: Samsung Display Co., Ltd.
    Inventors: Je Hun Lee, Jun Ho Song, Yun Jong Yeo, Hwa Dong Jung
  • Patent number: 9450058
    Abstract: A method for manufacturing a semiconductor device includes, forming, on a substrate, an element isolation insulating film which includes a protruding portion protruding above a level of a surface of the substrate, forming a first film on the substrate and on the element isolation insulating film, polishing the first film to expose the protruding portion, forming a first resist pattern which straddles the first film and the protruding portion after polishing the first film, patterning the first film using the first resist pattern as a mask to form a first pattern, and forming a sidewall film at side surfaces of the first pattern.
    Type: Grant
    Filed: September 25, 2015
    Date of Patent: September 20, 2016
    Assignee: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Yusuke Morisaki
  • Patent number: 9450071
    Abstract: Field effect semiconductor devices and methods of manufacturing the same are provided, the field effect semiconductor devices include a second semiconductor layer on a first surface of a first semiconductor layer, a first and a second third semiconductor layer respectively on two sides of the second semiconductor layer, a source and a drain respectively on the first and second third semiconductor layer, and a gate electrode on a second surface of the first semiconductor layer.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: September 20, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-ha Hong, Jong-seob Kim, Jae-joon Oh, Jai-kwang Shin, Hyuk-soon Choi, In-jun Hwang, Ho-jung Kim
  • Patent number: 9443944
    Abstract: Devices and methods for forming semiconductor devices with middle of line capacitance reduction in self-aligned contact process flow and fabrication are provided. One method includes, for instance: obtaining a wafer with at least one source, drain, and gate; forming a first contact region over the at least one source and a second contact region over the at least one drain; and forming at least one first and second small contact over the first and second contact regions. One intermediate semiconductor device includes, for instance: a wafer with a gate, source region, and drain region; at least one first contact region positioned over a portion of the source; at least one second contact region positioned over a portion of the drain; at least one first small contact positioned above the first contact region; and at least one second small contact positioned above the second contact region.
    Type: Grant
    Filed: November 14, 2014
    Date of Patent: September 13, 2016
    Assignees: GLOBALFOUNDRIES INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hui Zang, Balasubramanian Pranatharthiharan
  • Patent number: 9443850
    Abstract: An integrated circuit device includes at least two epitaxially grown active regions grown onto a substrate, the active regions being placed between two gate devices. The device further includes at least one dummy gate between two epitaxially grown active regions. Each active region is substantially uniform in length.
    Type: Grant
    Filed: July 1, 2013
    Date of Patent: September 13, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wun-Jie Lin, Jen-Chou Tseng, Ming-Hsiang Song