Combined With Passive Components (e.g., Resistors) Patents (Class 257/379)
  • Patent number: 11973121
    Abstract: Discussed herein are device contacts in integrated circuit (IC) structures. In some embodiments, an IC structure may include: a first source/drain (S/D) contact; a gate contact, wherein the gate contact is in contact with a gate and with the first S/D contact; and a second S/D contact, wherein a height of the second S/D contact is less than a height of the first S/D contact.
    Type: Grant
    Filed: March 27, 2020
    Date of Patent: April 30, 2024
    Assignee: Intel Corporation
    Inventors: Guillaume Bouche, Andy Chih-Hung Wei, Mwilwa Tambwe, Sean T. Ma, Piyush Mohan Sinha
  • Patent number: 11967444
    Abstract: In an embodiment a sensor element includes at least one carrier layer having a top side and an underside and at least one functional layer, wherein the functional layer is arranged at the top side of the carrier layer and includes a material having a temperature-dependent electrical resistance, wherein the sensor element is configured to be integrated as a discrete component directly into an electrical system, and wherein the sensor element is configured to measure a temperature.
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: April 23, 2024
    Assignee: TDK Electronics AG
    Inventors: Jan Ihle, Thomas Bernert, Gerald Kloiber
  • Patent number: 11948800
    Abstract: A device includes a pair of gate spacers on a substrate, and a gate structure on the substrate and between the gate spacers. The gate structure includes an interfacial layer, a metal oxide layer, a nitride-containing layer, a tungsten-containing layer, and a metal compound layer. The interfacial layer is over the substrate. The metal oxide layer is over the interfacial layer. The nitride-containing layer is over the metal oxide layer. The tungsten-containing layer is over the nitride-containing layer. The metal compound layer is over the tungsten-containing layer. The metal compound layer has a different material than a material of the tungsten-containing layer.
    Type: Grant
    Filed: December 14, 2022
    Date of Patent: April 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yen-Yu Chen, Yu-Chi Lu, Chih-Pin Tsao, Shih-Hsun Chang
  • Patent number: 11949016
    Abstract: A method of fabricating a device includes providing a fin element in a device region and forming a dummy gate over the fin element. In some embodiments, the method further includes forming a source/drain feature within a source/drain region adjacent to the dummy gate. In some cases, the source/drain feature includes a bottom region and a top region contacting the bottom region at an interface interposing the top and bottom regions. In some embodiments, the method further includes performing a plurality of dopant implants into the source/drain feature. In some examples, the plurality of dopant implants includes implantation of a first dopant within the bottom region and implantation of a second dopant within the top region. In some embodiments, the first dopant has a first graded doping profile within the bottom region, and the second dopant has a second graded doping profile within the top region.
    Type: Grant
    Filed: May 13, 2021
    Date of Patent: April 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shih-Hao Lin, Chih-Chuan Yang, Chih-Hsuan Chen, Bwo-Ning Chen, Cha-Hon Chou, Hsin-Wen Su, Chih-Hsiang Huang
  • Patent number: 11887983
    Abstract: The present application discloses a capacitor integrated in a FinFET. The capacitor and a resistor are both integrated in a middle-end-of-line process layer. A resistor main body layer and a resistor cover layer of the resistor and the forming regions of the intermediate dielectric layer and the lower electrode plate of the capacitor are patterned in a lithography process applying a first photomask; a forming region of an upper electrode plate is patterned in another lithography process applying a second photomask; the lower electrode plate, the upper electrode plate and the resistor main body layer are respectively connected with a metal zeroth layer. The present application further discloses a method for fabricating a capacitor integrated in a FinFET device. The disclosed method can reduce the process cost and improve the process efficiency, as well as flexibly select the capacitance of the capacitor by the process.
    Type: Grant
    Filed: November 5, 2021
    Date of Patent: January 30, 2024
    Assignee: SHANGHAI HUALI INTEGRATED CIRCUIT CORPORATION
    Inventors: Rui Pan, Jionghan Ye
  • Patent number: 11876044
    Abstract: A method for activating a backup unit includes providing a fuse element connected to the backup unit. The fuse element includes an active area, which includes a source region and a drain region beside the source region, a gate region disposed on the active area, and a shallow trench isolation (STI) structure surrounding the active area. The method also includes applying a stress voltage on the drain region of the fuse element; accumulating electrons in a portion of the STI structure adjacent to the drain region; generating a conductive path through the drain region and the source region so that the fuse element is conductive; and activating the backup unit through the fuse element.
    Type: Grant
    Filed: March 10, 2022
    Date of Patent: January 16, 2024
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Yi-Ju Chen, Jui-Hsiu Jao
  • Patent number: 11869934
    Abstract: A semiconductor device includes an active region, a LOCOS region formed within the active region and that extends vertically above a top surface of the active region, a gate region formed above the top surface of the active region, and a polysilicon resistor having a bottom surface that is offset vertically and physically isolated from a top surface of the LOCOS region. The active region includes a source region laterally disposed from the gate region, a drain region laterally disposed from the gate region, and a drift region laterally disposed between the gate region and the drain region. The polysilicon resistor is formed above the drift region. The active region further includes a first charge balance region formed in the active region below the drift region.
    Type: Grant
    Filed: July 29, 2021
    Date of Patent: January 9, 2024
    Assignee: Silanna Asia Pte Ltd
    Inventors: Wen Cheng Lin, Ren Huei Tzeng, Shanghui Larry Tu
  • Patent number: 11810851
    Abstract: The present technology relates to a semiconductor device in which a MIM capacitive element can be formed without any process damage, and a method for manufacturing the semiconductor device. In a semiconductor device, wiring layers of a first multilayer wiring layer formed on a first semiconductor substrate and a second multilayer wiring layer formed on a second semiconductor substrate are bonded to each other by wafer bonding. The semiconductor device includes a capacitive element including an upper electrode, a lower electrode, and a capacitive insulating film between the upper electrode and the lower electrode. One electrode of the upper electrode and the lower electrode is formed with a first conductive layer of the first multilayer wiring layer and a second conductive layer of the second multilayer wiring layer. The present technology can be applied to a semiconductor device or the like formed by joining two semiconductor substrates, for example.
    Type: Grant
    Filed: February 28, 2022
    Date of Patent: November 7, 2023
    Assignee: SONY SEMICONDUCTOR SOLUTIONS CORPORATION
    Inventors: Hitoshi Okano, Hiroyuki Kawashima
  • Patent number: 11664412
    Abstract: A structure provides a polysilicon resistor under a shallow trench isolation (STI). The structure includes the STI, a resistor in the form of a doped buried polysilicon layer under the STI, and a high resistivity (HR) polysilicon layer under the doped buried polysilicon layer. The structure also includes a pair of contacts operatively coupled in a spaced manner to the doped buried polysilicon layer. A related method is also disclosed.
    Type: Grant
    Filed: January 22, 2021
    Date of Patent: May 30, 2023
    Assignee: GlobalFoundries U.S. Inc.
    Inventors: Michael J. Zierak, Siva P. Adusumilli, Yves T. Ngu, Steven M. Shank
  • Patent number: 11631767
    Abstract: A semiconductor structure and a method for forming a semiconductor structure are disclosed. One form a semiconductor structure includes: a substrate, comprising a first region used to form a well region and a second region used to form a drift region, wherein the first region is adjacent to the second region; and a fin, protruding out of the substrate, wherein the fins comprise first fins located at a junction of the first region and the second region and second fins located on the second region, and a quantity of the second fins is greater than a quantity of the first fins.
    Type: Grant
    Filed: September 9, 2021
    Date of Patent: April 18, 2023
    Assignees: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION, SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Fei Zhou
  • Patent number: 11563015
    Abstract: A memory device includes a first transistor. The first transistor includes one or more first semiconductor nanostructures spaced apart from one another along a first direction. Each of the one or more first semiconductor nanostructures has a first width along a second direction perpendicular to the first direction. The memory device also includes a second transistor coupled to the first transistor in series. The second transistor includes one or more second semiconductor nanostructures spaced apart from one another along the first direction. Each of the one or more second semiconductor nanostructures has a second, different width along the second direction.
    Type: Grant
    Filed: February 11, 2020
    Date of Patent: January 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Meng-Sheng Chang, Chia-En Huang, Yi-Hsun Chiu, Yih Wang
  • Patent number: 11469178
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to a metal-free fuse structure and methods of manufacture. The structure includes: a first metal-free fuse structure comprising a top semiconductor material of semiconductor-on-insulator (SOI) technologies, the top semiconductor material including end portions with a first electrical resistance and a fuse portion of a second, higher electrical resistance electrically connected to the end portions; and a second metal-free fuse structure comprising the top semiconductor material of semiconductor-on-insulator (SOI) technologies, the top semiconductor material of the second metal-free fuse structure including at least a fuse portion of a lower electrical resistance than the second, higher electrical resistance.
    Type: Grant
    Filed: December 18, 2020
    Date of Patent: October 11, 2022
    Assignee: GlobalFoundries U.S. Inc.
    Inventors: Anthony K. Stamper, John J. Ellis-Monaghan, Steven M. Shank, John J. Pekarik, Vibhor Jain
  • Patent number: 11450745
    Abstract: A semiconductor device according to embodiments includes a p-type SiC region, a gate insulating film disposed on the p-type SiC region, and a gate electrode disposed on the gate insulating film and including a p-type impurity and 3C—SiC.
    Type: Grant
    Filed: February 5, 2020
    Date of Patent: September 20, 2022
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tatsuo Shimizu, Johji Nishio, Takashi Shinohe
  • Patent number: 11424159
    Abstract: A semiconductor device, including: a first semiconductor element formed at a first surface on a substrate, and has a first electrode portion formed thereon a first metal silicide film; a second semiconductor element formed at a second surface at a higher position than the first surface, and has a second electrode portion formed thereon a second metal silicide film and a hydrogen supply film configured to cover a part of an upper portion of the second metal silicide film; an interlayer insulating film formed on the first semiconductor element and the second semiconductor element; a first contact hole formed through the interlayer insulating film until the first metal silicide film; a second contact hole formed through the interlayer insulating film and the hydrogen supply film until the second metal silicide film; and a metal wiring embedded in each of the first contact hole and the second contact hole.
    Type: Grant
    Filed: September 9, 2020
    Date of Patent: August 23, 2022
    Assignee: ABLIC INC.
    Inventor: Hideo Yoshino
  • Patent number: 11398544
    Abstract: According to one embodiment, a light detector includes an element and a quenching resistance. The element includes a photodiode. The quenching resistance is electrically connected to the element. The quenching resistance includes a semiconductor member and a plurality of first metal members. The plurality of first metal members is electrically connected to the semiconductor member and separated from each other.
    Type: Grant
    Filed: March 11, 2020
    Date of Patent: July 26, 2022
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventors: Honam Kwon, Ikuo Fujiwara, Keita Sasaki, Kazuhiro Suzuki
  • Patent number: 11394371
    Abstract: The polysilicon resistance has a large resistance variation rate after the end of the mold packaging process. In order to enable high-precision trimming, it is desired to realize a resistance which is hardly affected by stress and temperature fluctuation generated in a substrate by a mold packaging process. A resistance element is formed in a plurality of wiring layers, and has a first conductive layer formed in a first wiring layer, a second conductive layer formed in a second wiring layer, and a repeating pattern of an interlayer conductive layer connecting the first conductive layer and the second conductive layer, and the interlayer conductive layer is formed of a plurality of types of materials.
    Type: Grant
    Filed: August 7, 2019
    Date of Patent: July 19, 2022
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Chiemi Hashimoto, Kosuke Yayama, Tomokazu Matsuzaki
  • Patent number: 11355432
    Abstract: Semiconductor devices and methods of formation are provided herein. A semiconductor device includes a first inductor, a patterned ground shielding (PGS) proximate the first inductor comprising one or more portions and a first switch configured to couple a first portion of the PGS to a second portion of the PGS. The semiconductor device also has a configuration including a first inductor on a first side of the PGS, a second inductor on a second side of the PGS and a first switch configured to couple a first portion of the PGS to a second portion of the PGS. Selective coupling of portions of the PGS by activating or deactivating switches alters the behavior of the first inductor, or the behavior and interaction between the first inductor and the second inductor. A mechanism is thus provided for selectively configuring a PGS to control inductive or other properties of a circuit.
    Type: Grant
    Filed: May 26, 2020
    Date of Patent: June 7, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Hsiao-Tsung Yen, Chin-Wei Kuo, Cheng-Wei Luo, Kung-Hao Liang
  • Patent number: 11317806
    Abstract: A wireless sensor device capable of constant operation without replacement of batteries. The wireless sensor device is equipped with a rechargeable battery and the battery is recharged wirelessly. Radio waves received at an antenna circuit are converted into electrical energy and stored in the battery. A sensor circuit operates with the electrical energy stored in the battery, and acquires information. Then, a signal containing the information acquired is converted into radio waves at the antenna circuit, whereby the information can be read out wirelessly.
    Type: Grant
    Filed: February 17, 2021
    Date of Patent: May 3, 2022
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Jun Koyama
  • Patent number: 11322439
    Abstract: Aspects of the invention include forming a semiconductor device. Gates are formed in a first direction over fins, the gates including gate material, the fins being formed in a second direction. Fin interconnects are formed in the first direction over the fins. A dielectric material is formed on the fins, and capacitor interconnects are formed over portions of the dielectric material in the first direction over the fins.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: May 3, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael Sperling, Erik English, Akil Khamisi Sutton, Pawel Owczarczyk
  • Patent number: 11282917
    Abstract: A semiconductor device including a multilayer wiring layer comprising a first wiring, a first insulating film formed on the multilayer wiring layer and having a first opening exposing a portion of the first wiring, a second insulating film formed on the first insulating film and having a second opening continuing with the first opening, and an inductor formed of the first wiring, and a second wiring electrically connected with the first wiring through a via formed in the first opening. A side surface of the via contacts with the first insulating film, and does not contact with the second insulating film.
    Type: Grant
    Filed: June 1, 2020
    Date of Patent: March 22, 2022
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Kazuhiko Iwakiri, Akira Matsumoto
  • Patent number: 11227893
    Abstract: The present disclosure provides a semiconductor structure, including a logic region and a memory region adjacent to the logic region. The memory region includes a Nth metal layer, a bottom electrode over the Nth metal layer, a magnetic tunneling junction (MTJ) layer over the bottom electrode, a top electrode over the MTJ layer, and a (N+1)th metal layer over the top electrode. The top electrode includes material having an oxidation rate lower than that of Tantalum or Tantalum derivatives. N is an integer greater than or equal to 1.
    Type: Grant
    Filed: June 22, 2018
    Date of Patent: January 18, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Harry-Hak-Lay Chuang, Kuei-Hung Shen
  • Patent number: 11217629
    Abstract: A semiconductor device includes a transistor and a memory device. The transistor includes a gate stack and a nanosheet penetrating through the gate stack. The memory device has a first portion and a second portion. A first portion of the gate stack is sandwiched between the first portion and the second portion of the memory device.
    Type: Grant
    Filed: May 19, 2020
    Date of Patent: January 4, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Meng-Han Lin, Sai-Hooi Yeong, Yu-Ming Lin, Han-Jong Chia, Chenchen Jacob Wang
  • Patent number: 11201091
    Abstract: A semiconductor structure includes an isolation structure disposed in a semiconductor substrate; a gate electrode and a resistor electrode disposed in the semiconductor substrate, wherein the isolation structure is disposed between the gate electrode and the resistor electrode, and the isolation structure is closer to the resistor electrode than the gate electrode. A source/drain (S/D) region is disposed in the semiconductor substrate and between the gate electrode and the isolation structure, wherein the S/D region is electrically connected to the resistor electrode. A conductive structure is disposed in the semiconductor structure and over the isolation structure, wherein the S/D region is electrically connected to the resistor electrode through the conductive structure.
    Type: Grant
    Filed: March 30, 2020
    Date of Patent: December 14, 2021
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Ching-Cheng Chuang
  • Patent number: 11194384
    Abstract: Circuit and method for reducing use of battery power during suspend mode operation of a computing device. Output impedance circuitry coupled to voltage regulation circuitry produces a feedback voltage and converts an output signal, produced in response to an input voltage and the feedback voltage, to an output voltage. A portion of the impedance of the output impedance circuitry is altered by control circuitry in response to a control signal, thereby causing changes in the feedback voltage, the output signal and the output voltage.
    Type: Grant
    Filed: July 24, 2019
    Date of Patent: December 7, 2021
    Assignee: Intel Corporation
    Inventors: Timothy Nguyen, Anh Khong, Mingi Park
  • Patent number: 11107951
    Abstract: Heterostructures containing one or more sheets of positive charge, or alternately stacked AlGaN barriers and AlGaN wells with specified thickness are provided. Also provided are multiple quantum well structures and p-type contacts. The heterostructures, the multiple quantum well structures and the p-type contacts can be used in light emitting devices and photodetectors.
    Type: Grant
    Filed: March 6, 2019
    Date of Patent: August 31, 2021
    Assignee: BOLB INC.
    Inventors: Jianping Zhang, Ying Gao, Ling Zhou
  • Patent number: 11101264
    Abstract: An electrostatic-discharge (ESD) protection circuit is provided. The circuit includes an I/O terminal coupled for receiving a signal having a negative voltage relative to a voltage supply terminal. An ESD transistor is formed in an isolated well. The transistor includes a control electrode and a first current electrode coupled to the I/O terminal. The isolated well is configured as a body electrode of the transistor. An ESD diode includes an anode electrode coupled to the voltage supply terminal and a cathode electrode coupled to a second current electrode of the transistor.
    Type: Grant
    Filed: August 14, 2019
    Date of Patent: August 24, 2021
    Assignee: NXP B.V.
    Inventor: Dolphin Abessolo Bidzo
  • Patent number: 11094702
    Abstract: A memory device includes a transistor, an anti-fuse element, a gate via, and a bit line. The transistor includes two source/drain regions. The anti-fuse element is connected to one of the source/drain regions of the transistor. The anti-fuse element includes a channel and a gate structure above the channel. The gate via is above the gate structure of the anti-fuse element. A lateral distance between a center of the gate via and a sidewall of the channel is less than a width of the gate via. The bit line is connected to another of the source/drain regions of the transistor.
    Type: Grant
    Filed: February 10, 2020
    Date of Patent: August 17, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chiung-Ting Ou, Ming-Yih Wang, Jian-Hong Lin
  • Patent number: 11094701
    Abstract: A method of forming a storage cell includes: forming a transistor on a semiconductor substrate; forming a plurality of fuses in at least one conductive layer on the semiconductor substrate to couple a connecting terminal of the transistor; forming a bit line to couple the plurality of fuses; and forming a word line to couple a control terminal of the transistor.
    Type: Grant
    Filed: July 17, 2019
    Date of Patent: August 17, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Meng-Sheng Chang, Chia-En Huang, Yih Wang
  • Patent number: 11081541
    Abstract: A system and method of providing a coil in an electronic communication device in is disclosed. Multiple dielectric layers are deposited and patterned on a semiconductor substrate or insulating mold compound. The dielectric layers provide conductive contact with a contact pad on the underlying structure. Shielding for the coil, including a seed layer covered by an insulating material, is disposed in a via of a lowermost of the dielectric layers. Grounding of the shielding seed layer is through a contact pad on the substrate or a trace between the dielectric layers. A coil is fabricated over the shielding and a solder mask deposited and patterned to cover and insulate the coil. The coil is fabricated in a via of a dielectric layer immediately below the solder mask or above this dielectric layer. Electrical contact is provided by multiple copper and seed layers in the solder mask and dielectric layers.
    Type: Grant
    Filed: May 22, 2020
    Date of Patent: August 3, 2021
    Assignee: Intel Corporation
    Inventors: Veronica Sciriha, Georg Seidemann
  • Patent number: 11050020
    Abstract: A method of forming a semiconductor structure. The method comprises forming a protective portion of a liner on at least a portion of stack structures on a substrate. The protective portion comprises a material formulated to adhere to the stack structures. A conformal portion of the liner is formed on the protective portion of the liner or on the protective portion of the liner and exposed materials of the stack structures. At least one of the protective portion and the conformal portion does not comprise aluminum. Additional methods of forming a semiconductor structure are disclosed, as are semiconductor structures including the liners comprising the protective portion and the conformal portion.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: June 29, 2021
    Assignee: Micron Technology, Inc.
    Inventors: Kyle B. Campbell, Irina Vasilyeva, Farrell M. Good, Vishwanath Bhat, Kyuchul Chong
  • Patent number: 11004944
    Abstract: Methods of forming semiconductor devices include forming a lower dielectric layer, to a height below a height of a dummy gate hardmask disposed across multiple device regions, by forming a dielectric fill to the height of a dummy gate and etching the dielectric fill back. A dummy gate structure includes the dummy gate and the dummy gate hardmask. A protective layer is formed on the dielectric layer to the height of the dummy gate hardmask. The dummy gate hardmask is etched back to expose the dummy gate.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: May 11, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Andrew M. Greene, John R. Sporre, Peng Xu
  • Patent number: 10991808
    Abstract: Fabricating a steep-switch transistor includes receiving a semiconductor structure including a substrate, a fin disposed on the substrate, a source/drain disposed on the substrate adjacent to the fin, a gate disposed upon the fin, a cap disposed on the gate, a trench contact formed on and in contact with the source/drain, and a source/drain contact formed on an in contact with the trench contact. A recess is formed in a portion of the source/drain contact using a recess patterning process. A bi-stable resistive system (BRS) material is deposited in the recess in contact with the portion of the source/drain contact. A metallization layer is formed in contact upon the BRS material, a portion of the source/drain contact, the BRS material, and a portion of the metallization layer contact forming a reversible switch.
    Type: Grant
    Filed: January 3, 2020
    Date of Patent: April 27, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Julien Frougier, Nicolas Loubet, Ruilong Xie, Daniel Chanemougame, Ali Razavieh, Kangguo Cheng
  • Patent number: 10985186
    Abstract: To provide a display device including a flexible panel that can be handled without seriously damaging a driver circuit or a connecting portion between circuits. The display device includes a bent portion obtained by bending an element substrate. A circuit for driving the display device is provided in the bent portion and a wiring extends from the circuit, whereby the strength of a portion including the circuit for driving the display device is increased and failure of the circuit is reduced. Furthermore, the element substrate is bent in a connecting portion between an external terminal electrode and an external connecting wiring (FPC) so that the element substrate provided with the external terminal electrode fits the external connecting wiring, whereby the strength of the connecting portion is increased.
    Type: Grant
    Filed: December 9, 2020
    Date of Patent: April 20, 2021
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Atsushi Miyaguchi
  • Patent number: 10964611
    Abstract: An edge crack monitoring system for an integrated circuit provided on a die, comprises a conductive trace comprising at least a first conductive path for allowing current in a first direction, and a second adjacent conductive path for allowing current in a second direction opposite to the first direction. Both adjacent conductive paths form at least one loop surrounding a semiconductor device on a die. The arrangement of the trace is adapted to provide compensation of EM interferences. The trace comprises two terminals being connectable to a detection circuit for detecting damages by generating a fault signal upon detection of disruption of the conductive trace due to a damage. The conductive trace comprises high resistance portions with a resistance of at least 1 k?, adapted for reducing self-resonance.
    Type: Grant
    Filed: November 21, 2017
    Date of Patent: March 30, 2021
    Assignee: MELEXIS TECHNOLOGIES NV
    Inventors: Gunnar Munder, Heiko Grimm, Thomas Freitag
  • Patent number: 10923469
    Abstract: An integrated circuit (IC) includes an active area including at least one active fin-type field effect transistor (FinFET), and a trench isolation adjacent to the active area. At least one inactive gate is positioned over the trench isolation. A vertically extending resistor body is positioned adjacent the at least one inactive gate over the trench isolation. A lower end of the resistor is below an upper surface of the trench isolation. The resistor reduces interconnect layer thickness to improve yield, and significantly reduces resistor footprint to enable scaling.
    Type: Grant
    Filed: January 10, 2019
    Date of Patent: February 16, 2021
    Assignee: GLOBALFOUNDRIES U.S. Inc.
    Inventors: Hui Zang, Guowei Xu, Jiehui Shu, Ruilong Xie, Yurong Wen, Garo J. Derderian, Shesh M. Pandey, Laertis Economikos
  • Patent number: 10892221
    Abstract: This transformer includes primary and secondary tracks (10, 20) that are coupled to one another by mutual inductance, the primary and secondary tracks being superimposed on top of each other in two parallel planes while being arranged to follow the same contour (C), the plane of the primary track corresponding to the main conductive layer of the circuit, said layer being deposited on a substrate (30), and the secondary track being supported, plumb with the primary track, by supporting means including walls (41-46; 51-56), each wall bearing directly on the substrate and against a lower surface (24) of the secondary track (20), and having a length (L) larger than a width (I), and having a height allowing a predetermined interval to be created between an upper surface (14) of the primary track (10) and the lower surface (24) of the secondary track (20).
    Type: Grant
    Filed: June 24, 2016
    Date of Patent: January 12, 2021
    Assignees: THALES, UNIVERSITÉ DE BORDEAUX, INSTITUT POLYTECHNIQUE DE BORDEAUX, CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE
    Inventors: Victor Dupuy, Benoît Mallet-Guy, Yves Mancuso, Eric Kerherve
  • Patent number: 10879462
    Abstract: A method of forming a semiconductor structure. The method comprises forming a protective portion of a liner on at least a portion of stack structures on a substrate. The protective portion comprises a material formulated to adhere to the stack structures. A conformal portion of the liner is formed on the protective portion of the liner or on the protective portion of the liner and exposed materials of the stack structures. At least one of the protective portion and the conformal portion does not comprise aluminum. Additional methods of forming a semiconductor structure are disclosed, as are semiconductor structures including the liners comprising the protective portion and the conformal portion.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: December 29, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Kyle B. Campbell, Irina Vasilyeva, Farrell M. Good, Vishwanath Bhat, Kyuchul Chong
  • Patent number: 10872843
    Abstract: A semiconductor device includes a substrate, a plurality of circuit elements on a front side of the substrate, and a first substantially spiral-shaped conductor on a back side of the substrate is provided. The device further includes a first through-substrate via (TSV) electrically connecting a first end of the substantially spiral-shaped conductor to a first one of the plurality of circuit elements, and a second TSV electrically connecting a second end of the substantially spiral-shaped conductor to a second one of the plurality of circuit elements. The device may be a package further including a second die having a front side on which is disposed a second substantially spiral-shaped conductor. The front side of the second die is disposed facing the back side of the substrate, such that the first and second substantially spiral-shaped conductors are configured to wirelessly communicate.
    Type: Grant
    Filed: May 2, 2017
    Date of Patent: December 22, 2020
    Assignee: Micron Technology, Inc.
    Inventor: Kyle K. Kirby
  • Patent number: 10872641
    Abstract: A circuit includes a repeating series of first circuits and a repeating series of second circuits placed next to the repeating series of first circuits and interacts with corresponding portions of the first circuits in the series. The repeating series of second circuits is formed in diffusion regions and diffusion wells which extend along the direction in which the second circuits repeat. The repeating series of the first and second circuits is interrupted by at least one dummy circuit region, which occupies the space of one or more instances of the first and second repeating series. The dummy circuit region also includes taps for biasing the diffusion regions and diffusion wells of the second circuits.
    Type: Grant
    Filed: June 27, 2019
    Date of Patent: December 22, 2020
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Russell Schreiber, Keith A. Kasprak
  • Patent number: 10872963
    Abstract: A semiconductor structure can include a resistor on a substrate formed simultaneously with other devices, such as transistors. A diffusion barrier layer formed on a substrate is patterned to form a resistor and barrier layers under a transistor gate. A filler material, a first connector, and a second connector are formed on the resistor at the same manner and time as the gate of the transistor. The filler material is removed to form a resistor on a substrate.
    Type: Grant
    Filed: May 20, 2019
    Date of Patent: December 22, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hua Feng Chen, Shu-Hui Wang, Mu-Chi Chiang
  • Patent number: 10832839
    Abstract: Device structures and fabrication methods for an on-chip resistor. A dielectric layer includes a trench with a bottom and a sidewall arranged to surround the bottom. A metal layer is disposed on the dielectric layer at the sidewall of the trench. The metal layer includes a surface that terminates the metal layer at the bottom of the trench to define a discontinuity that extends along a length of the trench.
    Type: Grant
    Filed: September 13, 2019
    Date of Patent: November 10, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Scott Beasor, Haiting Wang, Sipeng Gu, Jiehui Shu
  • Patent number: 10818364
    Abstract: According to one embodiment, a voltage generation circuit includes a first boost circuit, a voltage division circuit, a first detection circuit, a capacitor and a first switch. The first boost circuit outputs a first voltage. The voltage division circuit divides the first voltage. The first detection circuit is configured to detect a first monitor voltage supplied to the first input terminal, based on a reference voltage which is supplied to a second input terminal of the first detection circuit, and to control an operation of the first boost circuit. The capacitor is connected between an output terminal of the first boost circuit and the first input terminal of the detection circuit. The first switch cuts off a connection between the capacitor and the first detection circuit, based on an output signal of the first detection circuit, until the first voltage is output from the first boost circuit.
    Type: Grant
    Filed: October 31, 2019
    Date of Patent: October 27, 2020
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Tatsuro Midorikawa, Masami Masuda
  • Patent number: 10805702
    Abstract: A microphone assembly comprises a substrate and an enclosure disposed on the substrate. A port is defined in one of the substrate or the enclosure. An acoustic transducer is configured to generate an electrical signal in response to acoustic activity. The acoustic transducer comprises a membrane separating a front volume from a back volume of the microphone assembly. The front volume is in fluidic communication with the port, and the back volume is filled with a first gas having a thermal conductivity lower than a thermal conductivity of air. An integrated circuit is electrically coupled to the acoustic transducer and configured to receive the electrical signal from the acoustic transducer. At least a portion of a boundary defining at least one of the front volume or the back volume is configured to have compliance so as to allow pressure equalization. The first gas is different from the second gas.
    Type: Grant
    Filed: May 13, 2019
    Date of Patent: October 13, 2020
    Assignee: KNOWLES ELECTRONICS, LLC
    Inventors: Peter Loeppert, Michael Pedersen, Michael Kuntzman
  • Patent number: 10797169
    Abstract: A drift layer contains first conductivity type impurities. A well region contains second conductivity type impurities. A source region is provided on the well region and contains the first conductivity type impurities. A well contact region is in contact with the well region, contains the second conductivity type impurities, and has an impurity concentration on the second surface higher than the impurity concentration on the second surface in the well region. A gate electrode is provided on a gate insulating film. A Schottky electrode is in contact with the drift layer. A source ohmic electrode is in contact with the source region. A resistor is in contact with the well contact region and has higher resistance per unit area than the source ohmic electrode.
    Type: Grant
    Filed: September 12, 2019
    Date of Patent: October 6, 2020
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kazuya Ishibashi, Atsushi Narazaki, Yasuhiro Kagawa, Kensuke Taguchi
  • Patent number: 10790230
    Abstract: Example embodiments relate to a layer structure having a diffusion barrier layer, and a method of manufacturing the same. The layer structure includes first and second material layers and a diffusion barrier layer therebetween. The diffusion barrier layer includes a nanocrystalline graphene (nc-G) layer. In the layer structure, the diffusion barrier layer may further include a non-graphene metal compound layer or a graphene layer together with the nc-G layer. One of the first and second material layers is an insulating layer, a metal layer, or a semiconductor layer, and the remaining layer may be a metal layer.
    Type: Grant
    Filed: January 25, 2019
    Date of Patent: September 29, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyunjae Song, Seunggeol Nam, Yeonchoo Cho, Seongjun Park, Hyeonjin Shin, Jaeho Lee
  • Patent number: 10763324
    Abstract: A method is provided for forming an integrated thin film resistor (TFR) in a semiconductor integrated circuit device. A first dielectric layer is deposited on an integrated circuit (IC) structure including conductive contacts, a resistive film (e.g., comprising SiCCr, SiCr, CrSiN, TaN, Ta2Si, or TiN) is deposited over the first dielectric layer, the resistive film is etched to define the dimensions of the resistive film, and a second dielectric layer is deposited over the resistive film, such that the resistive film is sandwiched between the first and second dielectric layers. An interconnect trench layer may be deposited over the second dielectric layer and etched, e.g., using a single mask, to define openings that expose surfaces of the IC structure contacts and the resistive film. The openings may be filled with a conductive interconnect material, e.g., copper, to contact the exposed surfaces of the conductive contacts and the resistive film.
    Type: Grant
    Filed: June 6, 2018
    Date of Patent: September 1, 2020
    Assignee: MICROCHIP TECHNOLOGY INCORPORATED
    Inventor: Paul Fest
  • Patent number: 10741701
    Abstract: A high voltage power diode includes a P-type semiconductor substrate, a P-type epitaxial layer provided on the semiconductor substrate, an N-type isolation layer provided at a lower portion of the epitaxial layer, the isolation layer extending in a horizontal direction, oxide isolation layer provided at an upper surface of the epitaxial layer, the oxide isolation layer defining the epitaxial layer into an anode region and a cathode region, an first well of N-type conductivity, and a second well of P-type conductivity are provided on the upper surface of the epitaxial layer, a guard ring structure provided on the upper surface of the epitaxial layer and spaced apart from the second well in a horizontal direction, the guard ring structure including a third well having a first sub-well of N-type conductivity, a second sub-well of P-type conductivity and an third sub-well of N-type conductivity which are arranged in a horizontal direction, and a guard ring terminal electrically connected to the anode terminal.
    Type: Grant
    Filed: January 11, 2019
    Date of Patent: August 11, 2020
    Assignee: DB HITEK CO., LTD.
    Inventors: Jong Min Kim, Tae Young Joung
  • Patent number: 10727182
    Abstract: Example embodiments relate to a layer structure having a diffusion barrier layer, and a method of manufacturing the same. The layer structure includes first and second material layers and a diffusion barrier layer therebetween. The diffusion barrier layer includes a nanocrystalline graphene (nc-G) layer. In the layer structure, the diffusion barrier layer may further include a non-graphene metal compound layer or a graphene layer together with the nc-G layer. One of the first and second material layers is an insulating layer, a metal layer, or a semiconductor layer, and the remaining layer may be a metal layer.
    Type: Grant
    Filed: January 25, 2019
    Date of Patent: July 28, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyunjae Song, Seunggeol Nam, Yeonchoo Cho, Seongjun Park, Hyeonjin Shin, Jaeho Lee
  • Patent number: 10720788
    Abstract: Wireless charging devices, methods of manufacture thereof, and methods of charging electronic devices are disclosed. In some embodiments, a wireless charging device includes a controller, a molding material disposed around the controller, and an interconnect structure disposed over the molding material and coupled to the controller. The wireless charging device includes a wireless charging coil coupled to the controller. The wireless charging coil comprises a first portion disposed in the interconnect structure and a second portion disposed in the molding material. The wireless charging coil is adapted to provide an inductance to charge an electronic device.
    Type: Grant
    Filed: October 9, 2015
    Date of Patent: July 21, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chita Chuang, Chen-Shien Chen, Ming Hung Tseng, Sen-Kuei Hsu, Yu-Feng Chen, Yen-Liang Lin
  • Patent number: 10707295
    Abstract: A semiconductor device includes a semiconductor substrate, a capacitor structure, a first contact plug, and a spacer. The capacitor structure is over the semiconductor substrate. The capacitor structure includes a bottom electrode, a capacitor dielectric, and a top electrode. The bottom electrode is over the semiconductor substrate. The capacitor dielectric is over a first portion of the bottom electrode. The top electrode is over the capacitor dielectric. The first contact plug is on and connected to a second portion of the bottom electrode. The spacer is on at least a sidewall of the second portion of the bottom electrode.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: July 7, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: An-Hao Cheng