Combined With Heavily Doped Channel Stop Portion Patents (Class 257/399)
  • Patent number: 11417649
    Abstract: A semiconductor device includes a transistor. The transistor includes an active region in a substrate, a patterned conductive layer being a portion of an interconnection layer for routing, and an insulating layer extending over the substrate and configured to insulate the active region from the patterned conductive layer. The patterned conductive layer and the insulating layer serve as a gate of the transistor.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: August 16, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Yi-Sheng Chen, Kong-Beng Thei, Fu-Jier Fan, Jung-Hui Kao, Yi-Huan Chen, Kau-Chu Lin
  • Patent number: 11089251
    Abstract: An image sensor of a photon counting type that suppresses a reduction in the efficiency of photon detection dependent on a pixel position, is disclosed. The image sensor comprising a pixel region in which a plurality of pixels are arrayed in a matrix, each pixel including a photoelectric conversion region and an avalanche breakdown region. In a plan view of a pixel, a size of the avalanche breakdown region is smaller than a size of the photoelectric conversion region. In addition, at least some of pixels arranged in a peripheral region of the pixel region, the avalanche breakdown region is formed such that a position thereof is shifted with respect to a position of the avalanche breakdown region in pixels arranged in a central region of the pixel region.
    Type: Grant
    Filed: July 10, 2019
    Date of Patent: August 10, 2021
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Hideki Ikedo, Hirokazu Kobayashi
  • Patent number: 10937785
    Abstract: A semiconductor device includes a transistor. The transistor includes an active region in a substrate, a patterned conductive layer being a portion of an interconnection layer for routing, and an insulating layer extending over the substrate and configured to insulate the active region from the patterned conductive layer. The patterned conductive layer and the insulating layer serve as a gate of the transistor.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: March 2, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Yi-Sheng Chen, Kong-Beng Thei, Fu-Jier Fan, Jung-Hui Kao, Yi-Huan Chen, Kau-Chu Lin
  • Patent number: 9479176
    Abstract: A camouflage circuit instantiated on a semiconductor substrate includes a transient-comparison circuit that briefly expresses a value representative of either a one or a zero in dependence upon reference elements that are visibly indistinct from a perspective normal to the planar surface substrate surface, but that nevertheless exhibit distinct electrical responses. Transient comparisons that define logic states only briefly vastly complicate the use of reverse-engineering tools and techniques that rely on optical stimulation to sense when transistors are on or off.
    Type: Grant
    Filed: November 25, 2014
    Date of Patent: October 25, 2016
    Assignee: Rambus Inc.
    Inventors: John C. Eble, III, Scott C. Best, Hanson Quan
  • Patent number: 9240445
    Abstract: A lateral semiconductor device includes a semiconductor layer, an insulating layer, and a resistive field plate. The semiconductor layer includes a first semiconductor region and a second semiconductor region at a surface portion, and the second semiconductor region makes a circuit around the first semiconductor region. The insulating layer is formed on a surface of the semiconductor layer and is disposed between the first and second semiconductor regions. The resistive field plate is formed on a surface of the insulating layer. Between the first and second semiconductor regions, a first section and a second section are adjacent to each other along a circumferential direction around the first semiconductor region. The resistive field plate includes first and second resistive field plate sections respectively formed in the first and second sections, and the first and second resistive field plate sections are separated from each other.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: January 19, 2016
    Assignee: DENSO CORPORATION
    Inventors: Takashi Suzuki, Norihito Tokura, Satoshi Shiraki, Shigeki Takahashi, Youichi Ashida, Akira Yamada
  • Patent number: 8921179
    Abstract: Methodology enabling a reduction of edge and strap cell size, and the resulting device are disclosed. Embodiments include: providing first and second NW regions on a substrate; providing first and second RX regions on the first and second NW regions, respectively; providing a contact on the substrate connecting the first and second RX regions; and providing a dummy PC on the substrate connecting the first and second RX regions. Other embodiments include: determining an RX region of an IC design; determining a PPLUS mask region extending along a horizontal direction and being on an entire upper surface of the RX region; determining a NW region extending along a vertical direction and separated from the RX region; and comparing an area of an overlap of the NW region and PPLUS mask region to a threshold value.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: December 30, 2014
    Assignee: GlobalFoundries Inc.
    Inventors: Bipul C. Paul, Anurag Mittal, Pierre Malinge
  • Patent number: 8816443
    Abstract: An epitaxial device module monolithically integrated with a CMOS structure in a bulk or thick-film SOI substrate, comprising an active area on which epitaxial layers are formed by selective or non-selective epitaxial growth and a separate active area in which the CMOS structure is formed. A hard mask for epitaxy having an opening therein provides self-alignment for optional ion implants into the substrate. The ion-implanted region overlaps the active region underneath the epitaxial layer, a portion of the source/drain region of the CMOS structure and the isolation region separating the two active areas, thereby establishing a conductive path underneath the isolation region between the two active areas.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: August 26, 2014
    Assignee: Quantum Semiconductor LLC
    Inventors: Carlos J. R. P. Augusto, Lynn Forester
  • Patent number: 8803247
    Abstract: A fin-type field effect transistor including at least one fin-type semiconductor structure, a gate strip and a gate insulating layer is provided. The fin-type semiconductor structure is doped with a first type dopant and has a block region with a first doping concentration and a channel region with a second doping concentration. The first doping concentration is larger than the second doping concentration. The blocking region has a height. The channel region is configured above the blocking region. The gate strip is substantially perpendicular to the fin-type semiconductor structure and covers above the channel region. The gate insulating layer is disposed between the gate strip and the fin-type semiconductor structure.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: August 12, 2014
    Assignee: United Microelectronics Corporation
    Inventors: Chih-Jung Wang, Tong-Yu Chen
  • Patent number: 8592902
    Abstract: Gate cross diffusion in a semiconductor structure is substantially reduced or eliminated by forming multiple n-type gate regions with different dopant concentrations and multiple p-type gate regions with different dopant concentrations so that the n-type gate region with the lowest dopant concentration touches the p-type gate region with the lowest dopant concentration.
    Type: Grant
    Filed: September 21, 2012
    Date of Patent: November 26, 2013
    Assignee: Texas Instrument Incorporated
    Inventor: Manoj Mehrotra
  • Patent number: 8264015
    Abstract: A semiconductor device in which a first insulated gate field effect transistor (1) is connected in series with a second field effect transistor, FET, (2), wherein the second field effect transistor (2) has a heavily doped source region (19A) which is electrically connected to a heavily doped drain contact region (191) of the first insulated gate field effect transistor, and further that the breakthrough voltage of the first insulated gate field effect transistor (1) is higher than the pinch voltage, Vp, of the second field effect transistor (2).
    Type: Grant
    Filed: April 3, 2009
    Date of Patent: September 11, 2012
    Inventor: Klas-Håkan Eklund
  • Patent number: 8188550
    Abstract: A method of forming an IC is presented. The method includes providing a substrate having a plurality of transistors formed thereon. The transistors have gate stack, source and drain regions. An electrical strap is formed and in contact with at least a portion of at least one sidewall of the gate stack of a first transistor to provide a continuous electrical flowpath over a gate electrode of the first transistor and the source or drain region of a second transistor.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: May 29, 2012
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Lieyong Yang, Siau Ben Chiah, Ming Lei, Hua Xiao, Xiongfei Yu, Kelvin Tianpeng Guan, Puay San Chia, Chor Shu Cheng, Gary Chia, Chee Kong Leong, Sean Lian, Kin San Pey, Chao Yong Li
  • Patent number: 8138581
    Abstract: A semiconductor device is provided which includes a semiconductor substrate having a first surface, an active area and a peripheral area. The semiconductor device further includes least one channel stop trench formed in the semiconductor substrate, wherein the channel stop trench extends from the first surface at least partially into the semiconductor substrate and is arranged between the active area and the peripheral area. At least one electrode is arranged in the channel stop trench. The semiconductor substrate includes at least a peripheral contact region, which is arranged in the peripheral area at the first surface of the semiconductor substrate. A conductive layer is provided and in electrical contact with the electrode arranged in the channel stop trench and in electrical contact with the peripheral contact region. The conductive layer is electrically connected to the semiconductor substrate merely in the peripheral area and electrically insulated from the semiconductor substrate in the active area.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: March 20, 2012
    Assignee: Infineon Technologies Austria AG
    Inventor: Franz Hirler
  • Patent number: 8102011
    Abstract: There is provided a semiconductor device including a field effect transistor. The field effect transistor includes a p-type low concentration region formed over a surface of a substrate, an n-type drain-side diffusion region and an n-type source-side diffusion region formed over a surface of the p-type low concentration region, an element isolation insulating layer, and another element isolation insulating layer. A p-type high concentration region, which has an impurity concentration higher than the impurity concentration of the p-type low concentration region, is formed below the n-type source-side diffusion region in the p-type low concentration region over a range at least from one end, which is opposite to the other end facing to the channel region, of the source-side diffusion region to one end, which is facing to the channel region, of the second element isolation insulating layer, when seen in a plan view.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: January 24, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Hiroki Fujii
  • Patent number: 7880226
    Abstract: An integrated circuit device with a semiconductor body and a method for the production of a semiconductor device a provided. The semiconductor body comprises a cell field with a drift zone of a first conduction type. In addition, the semiconductor device comprises an edge region surrounding the cell field. Field plates with a trench gate structure are arranged in the cell field, and an edge trench surrounding the cell field is provided in the edge region. The front side of the semiconductor body is in the edge region provided with an edge zone of a conduction type complementing the first conduction type with doping materials of body zones of the cell field. The edge zone of the complementary conduction type extends both within and outside the edge trench.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: February 1, 2011
    Assignee: Infineon Technologies Austria AG
    Inventors: Uli Hiller, Oliver Blank, Ralf Siemieniec, Maximilian Roesch
  • Patent number: 7834406
    Abstract: The present invention pertains to a high-voltage MOS device. The high-voltage MOS device includes a substrate, a first well, a first field oxide layer enclosing a drain region, a second field oxide enclosing a source region, and a third field oxide layer encompassing the first and second field layers with a device isolation region in between. A channel region is situated between the first and second field oxide layers. A gate oxide layer is provided on the channel region. A gate is stacked on the gate oxide layer. A device isolation diffusion layer is provided in the device isolation region.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: November 16, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Chin-Lung Chen
  • Patent number: 7816229
    Abstract: A semiconductor device is provided which includes a semiconductor substrate having a first surface, an active area and a peripheral area. The semiconductor device further includes least one channel stop trench formed in the semiconductor substrate, wherein the channel stop trench extends from the first surface at least partially into the semiconductor substrate and is arranged between the active area and the peripheral area. At least one electrode is arranged in the channel stop trench. The semiconductor substrate includes at least a peripheral contact region, which is arranged in the peripheral area at the first surface of the semiconductor substrate. A conductive layer is provided and in electrical contact with the electrode arranged in the channel stop trench and in electrical contact with the peripheral contact region. The conductive layer is electrically connected to the semiconductor substrate merely in the peripheral area and electrically insulated from the semiconductor substrate in the active area.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: October 19, 2010
    Assignee: Infineon Technologies Austria AG
    Inventor: Franz Hirler
  • Patent number: 7795675
    Abstract: A trench MIS device is formed in a P-epitaxial layer that overlies an N-epitaxial layer and an N+ substrate. In one embodiment, the device includes an N-type drain-drift region that extends from the bottom of the trench to the N-epitaxial layer. Preferably, the drain-drift region is formed at least in part by fabricating spacers on the sidewalls of the trench and implanting an N-type dopant between the sidewall spacers and through the bottom of the trench. The drain-drift region can be doped more heavily than the conventional “drift region” that is formed in an N-epitaxial layer. Thus, the device has a low on-resistance. The device can be terminated by a plurality of polysilicon-filled termination trenches located near the edge of the die, with the polysilicon in each termination trench being connected to the mesa adjacent the termination trench.
    Type: Grant
    Filed: September 21, 2005
    Date of Patent: September 14, 2010
    Assignee: Siliconix Incorporated
    Inventors: Mohamed N. Darwish, Kyle W. Terrill, Jainhai Qi, Qufei Chen
  • Patent number: 7777294
    Abstract: On a semiconductor substrate, a well is formed. In the well, one MOS transistor including a gate electrode, a source region, a source field limiting layer and a source/drain region, and another MOS transistor including a gate electrode, a drain electrode, a drain field limiting layer and a source/drain region are formed. The one and another MOS transistors are connected in series through the source/drain region common to the two transistors. Accordingly, a semiconductor device can be provided in which increase in pattern layout area is suppressed when elements including a high-breakdown voltage MOS transistor are to be connected in series.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: August 17, 2010
    Assignee: Renesas Technology Corp.
    Inventor: Masatoshi Taya
  • Patent number: 7727831
    Abstract: The leakage current generated due to the extension of the depleted layer to the end of the chip is reduced. In MOSFET 100, the depths of the trenches 112 in the gate pad portion 50 and the circumference portion 70 are larger than the depths of the trenches 111 in the cell region 60. Therefore, the depleted layer extending from the cell region 60 along the direction toward the gate pad portion 50 or the direction toward the circumference portion 70 is blocked by the presence of the trench 112. In other words, an extending of the depleted layer can be terminated by disposing the trench 112, so as to avoid reaching the depleted layer to the end of the semiconductor chip. Accordingly, a leakage current generated from the cell region 60 along the direction toward the end of the semiconductor chip can be reduced.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: June 1, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Kinya Ohtani
  • Patent number: 7541627
    Abstract: The invention describes in detail the structure of a CMOS image sensor pixel that senses color of impinging light without having absorbing filters placed on its surface. The color sensing is accomplished by having a vertical stack of three-charge detection nodes placed in the silicon bulk, which collect electrons depending on the depth of their generation. The small charge detection node capacitance and thus high sensitivity with low noise is achieved by using fully depleted, potential well forming, buried layers instead of undepleted junction electrodes. Two embodiments of contacting the buried layers without substantially increasing the node capacitances are presented.
    Type: Grant
    Filed: March 8, 2004
    Date of Patent: June 2, 2009
    Assignee: Foveon, Inc.
    Inventors: Jaroslav Hynecek, Richard B. Merrill, Russel A. Martin
  • Patent number: 7528442
    Abstract: In this invention, the semiconductor device is provided with a gate electrode formed on a gate insulating film in a region sectioned by an element isolation formed on a semiconductor layer of the first conduction type, and a source region and a drain region of the second conduction type. At least one of the source region and the drain region has a first low concentration region and a high concentration region. Also, the semiconductor device of the present invention is provided with a second low concentration region of the second conduction type between a channel stopper region formed below the element isolation and the source region, and between the channel stopper region and the drain region. The semiconductor layer immediately below the gate electrode projects to the channel stopper region side along the gate electrode, and the semiconductor layer and the channel stopper region make contact with each other.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: May 5, 2009
    Assignee: Panasonic Corporation
    Inventors: Akira Fukumoto, Rie Watanabe
  • Patent number: 7400018
    Abstract: A method for incorporating carbon into a wafer at the interstitial a-c silicon interface of the halo doping profile is achieved. A bulk silicon substrate is provided. A carbon-doped silicon layer is deposited on the bulk silicon substrate. An epitaxial silicon layer is grown overlying the carbon-doped silicon layer to provide a starting wafer for the integrated circuit device fabrication. An integrated circuit device is fabricated on the starting wafer by the following steps. A gate electrode is formed on the starting wafer. LDD and source and drain regions are implanted in the starting wafer adjacent to the gate electrode.
    Type: Grant
    Filed: August 7, 2006
    Date of Patent: July 15, 2008
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chung Foong Tan, Jinping Liu, Hyeok Jae Lee, Bangun Indajang, Eng Fong Chor, Shiang Yang Ong
  • Patent number: 7276750
    Abstract: A semiconductor device includes a semiconductor substrate with a trench; a capacitor; a collar oxide film arranged on a portion of a side of the trench above the capacitor; a storage node arranged on a side of the collar oxide film in an upper portion of the trench and electrically connected to a storage electrode of the capacitor; a select transistor provided on a surface of the semiconductor substrate and having a source region in contact with the trench; a spacer covering a side of the source region; and a surface strap contact arranged upon the spacers, the source region and the storage node.
    Type: Grant
    Filed: August 20, 2004
    Date of Patent: October 2, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaru Kito, Masaru Kido, Hideaki Aochi, Toshiharu Tanaka, Ryota Katsumata, Hideki Inokuma, Yoichi Takegawa
  • Patent number: 7205593
    Abstract: A MOS image pick-up device including a semiconductor substrate, an imaging region formed on the semiconductor substrate by arraying plural unit pixels, and a peripheral circuit region including a driving circuit for operating the imaging region formed on the semiconductor substrate; the unit pixels include a photodiode, MOS (metal-oxide-semiconductor) transistors and a first device-isolation portion, the peripheral circuit region includes a second device-isolation portion for isolating devices in the driving circuit; wherein each of the first device-isolation portion and the second device-isolation portion is at least one portion selected from an electrically insulating film formed on the substrate in order not to erode the substrate, a electrically insulating film formed on the substrate so as to erode the substrate to a depth ranging from 1 nm to 50 nm, and an impurity diffusion region formed within the substrate. The MOS image pick-up device is incorporated in a camera.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: April 17, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takumi Yamaguchi
  • Patent number: 7198968
    Abstract: A method of fabricating a thin film transistor array substrate is provided.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: April 3, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Gee Sung Chae, Jin Wuk Kim
  • Patent number: 7193276
    Abstract: Semiconductor devices and methods of fabricating semiconductor devices that include a substrate and a device isolation layer in the substrate that defines an active region of the substrate are provided. The device isolation layer has a vertically protruding portion having a sidewall that extends vertically beyond a surface of the substrate. An epitaxial layer is provided on the surface of the substrate in the active region and extends onto the device isolation layer. The epitaxial layer is spaced apart from the sidewall of the vertically protruding portion of the device isolation layer. A gate pattern is provided on the epitaxial layer and source/drain regions are provided in the epitaxial layer at opposite sides of the gate pattern.
    Type: Grant
    Filed: October 18, 2004
    Date of Patent: March 20, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hoon Lim, Soon-Moon Jung, Won-Seok Cho
  • Patent number: 7049667
    Abstract: A technique for and structures for camouflaging an integrated circuit structure. The integrated circuit structure is formed by a plurality of layers of material having a controlled outline. A layer of conductive material having a controlled outline is disposed among said plurality of layers to provide artifact edges of the conductive material that resemble one type of transistor (operable vs. non-operable), when in fact another type of transistor was used.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: May 23, 2006
    Assignees: HRL Laboratories, LLC, Raytheon Company
    Inventors: Lap-Wai Chow, William M. Clark, Jr., Gavin J. Harbison, James P. Baukus
  • Patent number: 7019379
    Abstract: A semiconductor device includes a heavily doped layer 25 of p-type formed in the surface of an n-type well 21, an intermediately doped layer 26 of p-type formed to adjoin and surround the heavily p-doped layer 25, and an isolation region 22 formed to surround the heavily p-doped layer 25 and the intermediately p-doped layer 26. The heavily p-doped layer 25 has a higher dopant concentration than the well 21. The intermediately p-doped layer 26 has a higher dopant concentration than the well 21 and a lower dopant concentration than the heavily p-doped layer 25.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: March 28, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Hirotsugu Honda
  • Patent number: 7002210
    Abstract: On a semiconductor substrate, a well is formed. In the well, one MOS transistor including a gate electrode, a source region, a source field limiting layer and a source/drain region, and another MOS transistor including a gate electrode, a drain electrode, a drain field limiting layer and a source/drain region are formed. The one and another MOS transistors are connected in series through the source/drain region common to the two transistors. Accordingly, a semiconductor device can be provided in which increase in pattern layout area is suppressed when elements including a high-breakdown voltage MOS transistor are to be connected in series.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: February 21, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Masatoshi Taya
  • Patent number: 6953961
    Abstract: A dynamic random access memory (DRAM) structure and a fabricating process thereof are provided. In the fabricating process, a channel region is formed with a doped region having identical conductivity as the substrate in a section adjacent to an isolation structure. The doped region is formed in a self-aligned process by conducting a tilt implantation implanting ions into the substrate through the upper portion of the capacitor trench adjacent to the channel region after forming the trench but before the definition of the active region.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: October 11, 2005
    Assignee: Promos Technologies Inc.
    Inventors: Yueh-Chuan Lee, Shih-Lung Chen
  • Patent number: 6921687
    Abstract: In a p-type base layer of a trench IGBT comprising a p-type collector layer, an n-type base layer formed on the p-type collector layer, the p-type base layer formed on the n-type base layer, and an n-type emitter layer formed on the surface of the p-type base layer, the point of the highest impurity concentration is located closer to the n-type base layer than the junction with the emitter layer. In other words, the pinch-off of the channel is generated in the position closer to the n-type base layer than to the junction between the p-type base layer and the n-type emitter layer.
    Type: Grant
    Filed: October 13, 2004
    Date of Patent: July 26, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hidetaka Hattori, Masakazu Yamaguchi
  • Patent number: 6894354
    Abstract: An isolation trench in a semiconductor includes a first isolation trench portion having a first depth and having a first sidewall intersecting a surface of the semiconductor at a first angle. A second isolation trench portion extends within and below the first isolation trench portion. The second isolation trench portion has a second depth and includes a second sidewall. The second sidewall intersects the first sidewall at an angle with respect to the surface that is greater than the first angle. A dielectric material fills the first and second isolation trench portions.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: May 17, 2005
    Assignees: Micron Technology, Inc., KMT Semiconductor, LTD
    Inventors: Keiji Jono, Hirokazu Ueda, Hiroyuki Watanabe
  • Patent number: 6841837
    Abstract: A semiconductor device has: a gate insulator film of a transistor formed in a predetermined region on a region of a first conductivity type; a gate electrode of the transistor formed on the gate insulator film; a diffusion layer of a second conductivity type formed on both sides of the gate insulator film on the region of the first conductivity type; and a diffusion layer of the first conductivity type formed on the region of the first conductivity type so as to surround the gate insulator film and the diffusion layer of the second conductivity type. The diffusion layer of the first conductivity type has a higher impurity concentration than the region of the first conductivity type. In such a semiconductor device, the diffusion layer of the first conductivity type is formed so as to be separated from the gate insulator film.
    Type: Grant
    Filed: January 25, 2001
    Date of Patent: January 11, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Yukihiro Inoue
  • Patent number: 6806541
    Abstract: An electronic device architecture is described comprising a field effect device in an active region 22 of a substrate 10. Channel stop implant regions 28a and 28b are used as isolation structures and are spaced apart from the active region 22 by extension zones 27a and 27b. The spacing is established by using an inner mask layer 20 and an outer mask layer 26 to define the isolation structures.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: October 19, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Lily X. Springer, Binghua Hu, Chin-Yu Tsai, Jozef C. Mitros
  • Patent number: 6800909
    Abstract: There are provided a gate electrode formed on a semiconductor substrate of one conductivity type via a gate insulating film, ion-implantation controlling films formed on both side surfaces of the gate electrode and having a space between the gate electrode and an upper surface of the semiconductor substrate, first and second impurity diffusion regions of opposite conductivity type formed in the semiconductor substrate on both sides of the gate electrode and serving as source/drain, a channel region of one conductivity type formed below the gate electrode between the first and second impurity diffusion regions of opposite conductivity type, and pocket regions of one conductivity type connected to end portions of the impurity diffusion regions of opposite conductivity type in the semiconductor substrate below the gate electrode and having an impurity concentration of one conductivity type higher than the channel region.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: October 5, 2004
    Assignee: Fujitsu Limited
    Inventors: Koichi Sugiyama, Yoshihiro Takao, Shinji Sugatani, Daisuke Matsunaga, Takayuki Wada, Tohru Fujita, Hikaru Kokura
  • Patent number: 6737724
    Abstract: Disclosed is a semiconductor device including a transistor structure including an epitaxial silicon layer formed on a main surface of an n-type semiconductor substrate, source-drain diffusion layers formed on at least the epitaxial silicon layer, a channel region formed between the source and drain regions, and a gate electrode formed on the channel region with a gate insulating film interposed therebetween, an element isolation region being sandwiched between adjacent transistor structures, wherein a punch-through stopper layer formed in a lower portion of the channel region has an impurity concentration higher than that of the channel region, and the source-drain diffusion layers do not extend to overlap with edge portion of insulating films for the element isolation.
    Type: Grant
    Filed: October 10, 2002
    Date of Patent: May 18, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuhiko Hieda, Kyoichi Suguro
  • Publication number: 20040061186
    Abstract: A technique for and structures for camouflaging an integrated circuit structure. The integrated circuit structure is formed by a plurality of layers of material having a controlled outline. A layer of conductive material having a controlled outline is disposed among said plurality of layers to provide artifact edges of the conductive material that resemble one type of transistor (operable vs. non-operable), when in fact another type of transistor was used.
    Type: Application
    Filed: August 5, 2003
    Publication date: April 1, 2004
    Inventors: Lap-Wai Chow, William M. Clark, Gavin J. Harbison, James P. Baukus
  • Patent number: 6690074
    Abstract: A semiconductor device structure is described for reducing radiation induced current flow caused by incident ionizing radiation. The structure comprises a semiconductor substrate; two or more regions of a first conductivity type in the substrate; and a guard ring of a second conductivity type for obstructing radiation induced parasitic current flow between the two or more regions of the first conductivity type. The structure may be used in a pixel, e.g. in a diode or a transistor, for increasing radiation resistance.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: February 10, 2004
    Assignee: FillFactory
    Inventors: Bart Dierickx, Jan Bogaerts
  • Patent number: 6545318
    Abstract: An impurity layer is formed between a semiconductor substrate and a buried oxide film in an SOI substrate composed of the semiconductor substrate, the buried oxide film and a semiconductor layer.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: April 8, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tatsuya Kunikiyo
  • Patent number: 6501155
    Abstract: To provide a semiconductor apparatus that secures high ESD protection capability and yet reduces leak current. Cut sections 64-1 and 64-2 are provided in end sections of a second edge 62 of a drain region 22. When a distance between a first edge 60 of a source region 20 and the second edge 62 in an intermediate area is defined as L1, a distance between the first edge 60 and end edges 52-1 and 52-2 of a channel stopper non-implanted region 50 is defined as L1, a relation of L2? L1 is established. By providing the channel stopper non-implanted region 50, the ESD protection capability is improved. Also, by providing the cut sections 64-1 and 64-2 in a manner to satisfy the relation that is L2 is not less than L1, leak current is reduced. The source region 20 may also be provided with a cut section.
    Type: Grant
    Filed: November 23, 1998
    Date of Patent: December 31, 2002
    Assignee: Seiko Epson Corporation
    Inventor: Kazuhiko Okawa
  • Patent number: 6489657
    Abstract: A semiconductor device comprising a high withstand voltage MOS transistor of an offset drain/offset source structure easing a high electric field generated between a channel and a parasitic channel stopper in an operating state and preventing changes of a threshold voltage Vth, on-resistance Ron, or other characteristics, said device characterized in that a parasitic channel stopper layer containing an impurity is formed with a concentration gradient wherein the impurity concentration decreases along with approaching a channel region and a method of producing the same.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: December 3, 2002
    Assignee: Sony Corporation
    Inventor: Hideki Mori
  • Publication number: 20020167057
    Abstract: A method for fabricating buried decoupling capacitors in an integrated circuit is disclosed. The method forms decoupling capacitors by creating an opening within a substrate which has fin-like spacers, depositing a dielectric material over the spacers, depositing an electrode material over the dielectric material, depositing an insulative material over the electrode material, and forming integrated circuit components over the insulative material.
    Type: Application
    Filed: May 11, 2001
    Publication date: November 14, 2002
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6452219
    Abstract: An IGBT having a buffer layer for shortening the turn-off time and for preventing the latching up is improved. The buffer layer of the present invention is not bare at the edge of a diced cross-section of the IGBT chip. According to this construction, a withstanding voltage between a semiconductor substrate and the buffer layer is lower than the withstand voltage of the pn junction at the edge of the diced cross-section. Therefore, the whole pn junction between the semiconductor substrate and the buffer layer, which has wide area, breaks down, as a result, energy caused by a negative voltage is absorbed, and the withstanding voltage against the negative voltage is improved.
    Type: Grant
    Filed: August 26, 1997
    Date of Patent: September 17, 2002
    Assignee: Denso Corporation
    Inventors: Yoshiyuki Miyase, Naohito Kato, Haruo Kawakita, Naoto Okabe
  • Publication number: 20020125508
    Abstract: Disclosed is a container capacitor structure and method of constructing it. An etch mask and etch are used to expose portions of an exterior surface of electrode (“bottom electrodes”) of the container capacitor structure. The etch provides a recess between proximal pairs of container capacitor structures, which recess is available for forming additional capacitance. Accordingly, a capacitor dielectric and a top electrode are formed on and adjacent to, respectively, both an interior surface and portions of the exterior surface of the first electrode. Advantageously, surface area common to both the first electrode and second electrodes is increased over using only the interior surface, which provides additional capacitance without a decrease in spacing for clearing portions of the capacitor dielectric and the second electrode away from a contact hole location.
    Type: Application
    Filed: May 3, 2002
    Publication date: September 12, 2002
    Applicant: Micron Technology, Inc.
    Inventors: D. Mark Durcan, Trung T. Doan, Roger R. Lee, Fernando Gonzalez, Er-Xuan Ping
  • Patent number: 6417538
    Abstract: Flash type programmable nonvolatile memory unit cells are provided, along with a manufacturing method. Each unit cell is formed such that the interpoly dielectric layer and the control gate surround the top surface and also the four lateral surfaces of the floating gate. This increases the capacitance between the floating gate and the control gate, which improves a coupling ratio. This also improves electromagnetic shielding within each cell, which reduces cross talk between neighboring cells, and permits more dense integration.
    Type: Grant
    Filed: July 22, 1999
    Date of Patent: July 9, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jeong-hyuk Choi
  • Publication number: 20020074610
    Abstract: An electronic device architecture is described comprising a field effect device in an active region 22 of a substrate 10. Channel stop implant regions 28a and 28b are used as isolation structures and are spaced apart from the active region 22 by extension zones 27a and 27b. The spacing is established by using an inner mask layer 20 and an outer mask layer 26 to define the isolation structures.
    Type: Application
    Filed: October 25, 2001
    Publication date: June 20, 2002
    Inventors: Lily X. Springer, Binghua Hu, Chin-Yu Tsai, Jozef C. Mitros
  • Patent number: 6376296
    Abstract: A high-voltage device. A substrate has a first conductive type. A first well region with the first conductive type is located in the substrate. A second well region with the second conductive type is located in the substrate but is isolated from the first well region. Several field oxide layers are located on a surface of the second well region. A shallow trench isolation is located between the field oxide layers in the second well region. A first doped region with the second conductive type is located beneath the field oxide layers. A second doped region with the first conductive type is located beneath the shallow trench isolation in the second well region. A third well region with the first conductive type is located in the first well region and expands from a surface of the first well region into the first well region. A gate structure is positioned on the substrate between the first and the second well regions and covers a portion of the first, the third well regions and the field oxide layers.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: April 23, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Tsung Tung
  • Patent number: 6369433
    Abstract: A high voltage transistor exhibiting low leakage and low body effect is formed while avoiding an excessive number of costly masking steps. Embodiments include providing a field implant blocking mask over the channel area, thereby producing a transistor with low body effect, the field implant blocking mask having appropriate openings so that the field implant occurs at the edges of the channel, thereby reducing leakage.
    Type: Grant
    Filed: October 30, 1998
    Date of Patent: April 9, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Narbeh Derhacobian, Pau-ling Chen, Hao Fang
  • Patent number: 6365945
    Abstract: A submicron semiconductor device having a self-aligned channel stop implant region, and a method for fabricating the semiconductor device using a trim and etch is disclosed. The semiconductor device includes a plurality of active regions separated by insulating regions. The method for fabricating the device includes depositing a nitride over a substrate and selectively covering the active regions with a mask, wherein the mask extends beyond boundaries of the active regions to narrow the width of the insulating regions. Thereafter, a channel stop implant is performed to form channel stops. The mask is then trimmed to the boundaries of the active regions after formation of the channel stops, followed by etching the nitride in exposed areas of the mask. Field oxide is then grown in the insulating regions, whereby the field oxide is self-aligned to the channel stops.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: April 2, 2002
    Assignee: Advance Micro Devices, Inc.
    Inventors: Michael K. Templeton, Masaaki Higashitani, John Jianshi Wang
  • Patent number: RE41867
    Abstract: A MOS image pick-up device including a semiconductor substrate, an imaging region formed on the semiconductor substrate by arraying plural unit pixels, and a peripheral circuit region including a driving circuit for operating the imaging region formed on the semiconductor substrate; the unit pixels include a photodiode, MOS (metal-oxide-semiconductor) transistors and a first device-isolation portion, the peripheral circuit region includes a second device-isolation portion for isolating devices in the driving circuit; wherein each of the first device-isolation portion and the second device-isolation portion is at least one portion selected from an electrically insulating film formed on the substrate in order not to erode the substrate, a electrically insulating film formed on the substrate so as to erode the substrate to a depth ranging from 1 nm to 50 nm, and an impurity diffusion region formed within the substrate. The MOS image pick-up device is incorporated in a camera.
    Type: Grant
    Filed: March 4, 2009
    Date of Patent: October 26, 2010
    Assignee: Panasonic Corporation
    Inventor: Takumi Yamaguchi