Air Isolation (e.g., Beam Lead Supported Semiconductor Islands) Patents (Class 257/522)
  • Patent number: 11948879
    Abstract: A semiconductor device structure, along with methods of forming such, are described. The semiconductor device structure includes a device, a first dielectric material disposed over the device, and an opening is formed in the first dielectric material. The semiconductor device structure further includes a conductive structure disposed in the opening, and the conductive structure includes a first sidewall. The semiconductor device structure further includes a surrounding structure disposed in the opening, and the surrounding structure surrounds the first sidewall of the conductive structure. The surrounding structure includes a first spacer layer and a second spacer layer adjacent the first spacer layer. The first spacer layer is separated from the second spacer layer by an air gap.
    Type: Grant
    Filed: July 27, 2022
    Date of Patent: April 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Lin-Yu Huang, Li-Zhen Yu, Chia-Hao Chang, Cheng-Chi Chuang, Kuan-Lun Cheng, Chih-Hao Wang
  • Patent number: 11455454
    Abstract: According to one implementation of the present disclosure, a method includes: generating a three-dimensional (3D) circuit design of an integrated circuit; and providing respective inter-tier connections coupling for first and second networks concurrently on the generated 3D circuit design. The first networks may include power or ground networks, while the second networks may include signal networks. In another implementation, a method includes: generating a three-dimensional (3D) circuit design of an integrated circuit; and providing inter-tier connections on the generated 3D circuit design during one of a placement stage, a partitioning stage, a clock tree synthesis (CTS) stage, or a routing stage of a physical circuit design procedure.
    Type: Grant
    Filed: November 24, 2020
    Date of Patent: September 27, 2022
    Assignee: Arm Limited
    Inventors: Chien-Ju Chao, Pranavi Chandupatla, Saurabh Pijuskumar Sinha, Sheng-En Hung, Xiaoqing Xu
  • Patent number: 11417749
    Abstract: A semiconductor arrangement includes a gate structure disposed between a first source/drain region and a second source/drain region and a first contact disposed over the first source/drain region. The semiconductor arrangement includes a second contact disposed over the second source/drain region and an airgap disposed between the first contact and the second contact and over the gate structure.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: August 16, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Gulbagh Singh, Wang Po-Jen, Kun-Tsang Chuang, Tsung-Han Tsai
  • Patent number: 11289368
    Abstract: A semiconductor device is provided. The semiconductor device includes a device substrate, having a device structure layer and a buried dielectric layer, wherein the buried dielectric layer is disposed on a semiconductor layer of the device structure layer. A metal layer is disposed on the buried dielectric layer and surrounded by a first inter-layer dielectric (ILD) layer. A region of the metal layer has a plurality of openings. The buried dielectric layer has an air gap under and exposing the region of the metal layer with the openings. A second ILD layer is disposed on the metal layer and sealing the air gap at the openings of the metal layer.
    Type: Grant
    Filed: May 27, 2020
    Date of Patent: March 29, 2022
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventor: Zhi-Biao Zhou
  • Patent number: 11282841
    Abstract: A semiconductor device includes a substrate, a first impurity implantation region and a second impurity implantation region on the substrate and spaced apart from each other, a storage node contact in contact with the first impurity implantation region, the storage node contact including an upper contact having a first width, and a lower contact having a second width that is greater than the first width at a lower portion of the upper contact, a bit line electrically connected to the second impurity implantation region and configured to cross the substrate, a bit line node contact between the bit line and the second impurity implantation region, and a spacer between the storage node contact and the bit line and between the storage node contact and the bit line node contact.
    Type: Grant
    Filed: September 30, 2020
    Date of Patent: March 22, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hyo Sub Kim, Hui Jung Kim, Myeong Dong Lee, Jin Hwan Chun
  • Patent number: 11270894
    Abstract: One or more embodiments are directed to methods of forming one or more cantilever pads for semiconductor packages. In one embodiment a recess is formed in a substrate of the package facing the cantilever pad. The cantilever pad includes a conductive pad on which a conductive ball is formed. The cantilever pad is configured to absorb stresses acting on the package.
    Type: Grant
    Filed: January 19, 2018
    Date of Patent: March 8, 2022
    Assignee: STMICROELECTRONICS, INC.
    Inventors: Jefferson Talledo, Godfrey Dimayuga
  • Patent number: 11244898
    Abstract: Examples of an integrated circuit with an interconnect structure and a method for forming the integrated circuit are provided herein. In some examples, the method includes receiving a workpiece having an interconnect structure that includes a first conductive feature, a second conductive feature disposed beside the first conductive feature, and an inter-level dielectric disposed between the first conductive feature and the second conductive feature. A conductive material of an etch stop layer is selectively deposited on the first conductive feature and on the second conductive feature without depositing the conductive material on the inter-level dielectric, and the inter-level dielectric is removed to form a gap between the first conductive feature and the second conductive feature.
    Type: Grant
    Filed: April 10, 2019
    Date of Patent: February 8, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD
    Inventors: Tai-I Yang, Li-Lin Su, Yung-Hsu Wu, Hsin-Ping Chen, Cheng-Chi Chuang
  • Patent number: 11239109
    Abstract: A flash memory device includes a substrate, a plurality of active regions and a plurality of first isolation regions alternately arranged in a first direction and extending in a second direction different from the first direction, a plurality of gate structures on the substrate, the gate structures being spaced apart from each other and extending in the second direction, a gap structure between the gate structures, and a second isolation region filling an upper portion of the gap structure and leaving a first air gap in a lower portion of the gap structure.
    Type: Grant
    Filed: July 26, 2018
    Date of Patent: February 1, 2022
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Shengfen Chiu, Liang Chen, Liang Han
  • Patent number: 11239111
    Abstract: A method of fabricating a semiconductor device includes forming a first conductive structure over a substrate, successively forming a first spacer layer, a sacrificial layer, and a second spacer layer on the first conductive structure, forming a second conductive structure adjacent the first conductive structure and in contact with a lower portion of the second spacer layer, partially removing an upper portion of the second spacer layer to expose the sacrificial layer, removing the sacrificial layer through a vapor etch process to form an air gap between the lower portion of the second spacer layer and the first spacer layer, and forming a capping layer to cap the air gap.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: February 1, 2022
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Chih-Wei Huang, Hsu-Cheng Fan, En-Jui Li
  • Patent number: 11171096
    Abstract: A semiconductor package includes a main substrate, a resonator device disposed above the main substrate, a wiring portion connected to the resonator device, an electrical connection structure connected to the wiring portion and the main substrate, an encapsulant encapsulating the resonator device and the electrical connection structure, and a heat dissipation member bonded to and mounted on the resonator device. A cavity is provided in the resonator device, and is formed between the resonance portion and a resonator device substrate provided in the resonator device.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: November 9, 2021
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Seung Wook Park, Jae Chang Lee, Seong Hun Na, Jae Hyun Jung
  • Patent number: 11127678
    Abstract: A structure includes an air gap structure including: an opening in a first dielectric layer between adjacent conductors, and a non-conformal dielectric layer over the opening. In some cases, the non-conformal dielectric layer narrows an end portion of the opening of the air gap but may not seal the opening. In other cases, the non-conformal layer may seal the end portion of the opening and include a seam therein. The air gap structure may also include a conformal dielectric layer on the non-conformal dielectric layer. The conformal layer either seals the end portion of the opening or, if present, seals the seam. The structure may also include a wiring layer over the air gap structure.
    Type: Grant
    Filed: December 10, 2019
    Date of Patent: September 21, 2021
    Assignee: GlobalFoundries U.S. Inc.
    Inventors: Vincent J. McGahay, Craig R. Gruszecki, Ju Jin An, Tim H. Lee, Todd J. Van Kleeck
  • Patent number: 11049768
    Abstract: A method of forming a microelectronic device comprises forming a stack structure comprising insulative structures and additional insulative structures vertically alternating with the insulative structures. Apertures are formed to extend to surfaces of the insulative structures at different depths than one another within the stack structure. Dielectric liner structures are formed within the apertures. Sacrificial structures are formed within portions of the apertures remaining unoccupied by the dielectric liner structures. Upper portions of the sacrificial structures are replaced with capping structures. Portions of the insulative structures and remaining portions of the sacrificial structures are replaced with electrically conductive material. Microelectronic devices and electronic systems are also described.
    Type: Grant
    Filed: October 29, 2019
    Date of Patent: June 29, 2021
    Assignee: Micron Technology, Inc.
    Inventors: John D. Hopkins, Jiewei Chen, Nancy M. Lomeli
  • Patent number: 11018218
    Abstract: The present disclosure, in some embodiments, relates to a method of semiconductor processing. The method may be performed by etching a substrate to define a trench within the substrate. A sacrificial material is formed within the trench. The sacrificial material has an exposed upper surface. A plurality of discontinuous openings are formed to expose separate segments of a sidewall of the sacrificial material. The plurality of discontinuous openings are separated by non-zero distances along a length of the trench. An etching process is performed to simultaneously etch the exposed upper surface and the sidewall of the sacrificial material.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: May 25, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuei-Sung Chang, Te-Hao Lee
  • Patent number: 10977415
    Abstract: A method for forming an integrated device includes following operations. It is provided a first circuit having a first connecting path in a metal line layer, a second connecting path, and a third connecting path. The second connecting path is electrically connected to a first connecting portion of the first connecting path in the metal line layer. The third connecting path is electrically coupled to a second connecting portion of the first connecting path in the metal line layer. An electromigration (EM) data of the first connecting path is analyzed to determine if a third connecting portion in the metal line layer between the first connecting portion and the second connecting portion induces EM phenomenon. The first circuit is modified to generate a second circuit when the third connecting portion induces EM phenomenon. The integrated device is generated according to the second circuit.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: April 13, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Hiranmay Biswas, Kuo-Nan Yang, Chung-Hsing Wang, Meng-Xiang Lee
  • Patent number: 10971498
    Abstract: A semiconductor memory device and a method of forming the same, the semiconductor memory device includes a substrate, a dielectric layer, plural bit lines, at least one bit line contact, a spacer structure and a spacer layer. The substrate has an isolation area to define plural active areas. The dielectric layer is disposed on the substrate, and the dielectric layer includes a bottom layer having a sidewall being retracted from sidewalls of other layers of the dielectric layer. The plural bit lines are disposed on the dielectric stacked structure, along a direction, and the at least one bit line contact is disposed below one of the bit lines, within the substrate. The spacer structure is disposed at sidewalls of each of the bit lines, and the spacer layer is disposed on the spacer structure to directly in contact with the spacer structure and the other layers of the dielectric layer.
    Type: Grant
    Filed: September 20, 2018
    Date of Patent: April 6, 2021
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Chien-Ming Lu, Fu-Che Lee, Feng-Yi Chang
  • Patent number: 10862033
    Abstract: An electronic device includes a semiconductor memory, wherein the semiconductor memory comprises a plurality of memory stacks neighboring each other in a first direction and a second direction, the second direction intersecting the first direction, a plurality of first liner layers covering sidewalls of memory stacks that neighbor each other in the second direction, the plurality of first liner layers extending in the second direction, a plurality of first air gaps located in spaces covered by the first liner layers, and a plurality of second air gaps located between each pair of memory stacks that neighbor each other in the first direction, the plurality of second air gaps extending in the second direction.
    Type: Grant
    Filed: January 30, 2020
    Date of Patent: December 8, 2020
    Assignee: SK hynix Inc.
    Inventor: Kyoung Su Choi
  • Patent number: 10727233
    Abstract: An integrated circuit device includes: a conductive line structure including a conductive line and an insulating capping pattern; and an insulating spacer including an inner spacer and a first insulating spacer, the inner spacer and the first insulating spacer on a sidewall of the conductive line structure. The first insulating spacer includes: a slit portion; a lower insulating portion spaced apart from the inner spacer such that a separation distance between a portion of the lower insulating portion and the inner spacer decreases with increasing vertical distance from the substrate; and an upper insulating portion contacting the inner spacer. A method of forming the insulating spacer includes: forming a polymer layer on the inner spacer; forming a first insulating spacer layer which contacts each of the inner spacer and the polymer layer; and forming a first insulating spacer by partially removing the first insulating spacer layer.
    Type: Grant
    Filed: July 24, 2018
    Date of Patent: July 28, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seok-han Park
  • Patent number: 10651078
    Abstract: A method is presented forming a fully-aligned via (FAV) and airgaps within a semiconductor device. The method includes forming a plurality of copper (Cu) trenches within an insulating layer, forming a plurality of ILD regions over exposed portions of the insulating layer, selectively removing a first section of the ILD regions in an airgap region, and maintaining a second section of the ILD regions in a non-airgap region. The method further includes forming airgaps in the airgap region and forming a via in the non-airgap region contacting a Cu trench of the plurality of Cu trenches.
    Type: Grant
    Filed: May 8, 2019
    Date of Patent: May 12, 2020
    Assignee: Tessera, Inc.
    Inventors: Christopher J. Penny, Benjamin D. Briggs, Huai Huang, Lawrence A. Clevenger, Michael Rizzolo, Hosadurga Shobha
  • Patent number: 10651373
    Abstract: A method for forming an integrated circuit is provided. The method includes forming a dielectric layer over a cell region and a logic region of a substrate; forming a resistance switching layer over the dielectric layer; performing at least one etch process to pattern the resistance switching layer into a plurality of resistance switching elements in the cell region, in which a first portion of the dielectric layer in the logic region is less etched by the etch process than a second portion of the dielectric layer in the cell region.
    Type: Grant
    Filed: November 16, 2018
    Date of Patent: May 12, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tai-Yen Peng, Chien-Chung Huang, Yu-Shu Chen, Sin-Yi Yang, Chen-Jung Wang, Han-Ting Lin, Chih-Yuan Ting, Jyu-Horng Shieh, Hui-Hsien Wei
  • Patent number: 10644008
    Abstract: A first bit line structure is disposed between a first contact structure and a second contact structure. A first air spacer is interposed between the first contact structure and the first bit line structure. A first separation space is connected to an air entrance of the first air spacer and interposed between the first contact structure and the first bit line structure. A cover insulating pattern with a gap portion is interposed between the first contact structure and the second contact structure. The gap portion has a downwardly-decreasing width. An air capping pattern covers the cover insulating pattern to seal the first separation space.
    Type: Grant
    Filed: January 15, 2018
    Date of Patent: May 5, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jun-won Lee, Jae-kang Koh, Geum-bi Mun, Byoung-deog Choi
  • Patent number: 10497776
    Abstract: The present disclosure relates to a method of etching a narrow gap using one or more parallel releasing structures to improve etching performance, and an associated apparatus. In some embodiments, the method provides a semiconductor substrate with a narrow gap having a sacrificial material. One or more parallel releasing structures are formed within the semiconductor substrate at positions that abut the narrow gap. An etching process is then performed to simultaneously remove the sacrificial material from the narrow gap along a first direction from the one or more parallel releasing structures and along a second direction, perpendicular to the first direction. By simultaneously etching the sacrificial material from both the direction of the narrow gap and from the direction of the one or more parallel releasing structures, the sacrificial material is removed in less time, since the etch is not limited by a size of the narrow gap.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: December 3, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuei-Sung Chang, Te-Hao Lee
  • Patent number: 10453794
    Abstract: An interconnect and a method of forming an interconnect for a semiconductor device is provided. Conductive lines having different widths are formed. Wider conductive lines are used where the design includes an overlying via, and narrower lines are used in which an overlying via is not included. An overlying dielectric layer is formed and trenches and vias are formed extending through the overlying dielectric layer to the wider conductive lines. Voids or air gaps may be formed adjacent select conductive lines, such as the narrower lines.
    Type: Grant
    Filed: February 6, 2017
    Date of Patent: October 22, 2019
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Chih-Yuan Ting
  • Patent number: 10446576
    Abstract: According to one embodiment, the semiconductor body of the first portion includes a first semiconductor part and a second semiconductor part. The first semiconductor part extends in the stacking direction. The second semiconductor part is provided between the first semiconductor part and the first electrode layer, and has an end located closer to the first electrode layer side than the first semiconductor part. The first insulating film of the second portion includes a first insulating part and a second insulating part. The first insulating part extends in the stacking direction. The second insulating part is provided between the first insulating part and the second electrode layer, and has an end located closer to the second electrode layer side than the first insulating part.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: October 15, 2019
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Takeshi Kamigaichi
  • Patent number: 10403542
    Abstract: A first metallization layer comprises a set of first conductive lines that extend along a first direction on a first dielectric layer on a substrate. Pillars are formed on recessed first dielectric layers and a second dielectric layer covers the pillars. A dual damascene etch provides a contact hole through the second dielectric layer and an etch removes the pillars to form air gaps.
    Type: Grant
    Filed: June 8, 2018
    Date of Patent: September 3, 2019
    Inventors: Susmit Singha Roy, Ziqing Duan, Abhijit Basu Mallick, Praburam Gopalraja
  • Patent number: 10347644
    Abstract: The present invention provides a semiconductor device and a manufacturing method thereof. The semiconductor device includes a semiconductor substrate with a memory cell region and a peripheral region, a gate line in the peripheral region, an etch-stop layer covering the gate line and the semiconductor substrate, a first insulating layer covering the etch-stop layer, two contact plugs disposed on the semiconductor substrate in the peripheral region, two pads disposed on the contact plugs respectively, and a second insulating layer disposed between the pads. The contact plugs are located at two sides of the gate line respectively, and the contact plugs penetrate through the etch-stop layer and the first insulating layer to contact the semiconductor substrate. The second insulating layer is not in contact with the etch-stop layer.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: July 9, 2019
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Feng-Yi Chang, Fu-Che Lee, Chieh-Te Chen
  • Patent number: 10332805
    Abstract: A transistor module includes a substrate; a transistor on the substrate; a dielectric layer disposed over the transistor and the substrate; a metal layer disposed over the dielectric layer and the transistor, the metal layer contacting a portion of the transistor; a metal pillar disposed over the metal layer; and a dielectric cushion disposed between the metal layer and the metal pillar over the transistor. The dielectric cushion includes dielectric material that is softer than the metal pillar, for reducing strain on semiconductor junctions when at least one of tensile or compressive stress is exerted on the metal pillar with respect to the substrate. The transistor module may further include at least one buttress formed between the metal layer and the substrate, adjacent to the transistor, for further reducing strain on the semiconductor junctions by providing at least one corresponding alternative stress path that substantially bypasses the transistor.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: June 25, 2019
    Assignee: Avago Technologies International Sales Pte. Limited
    Inventors: Thomas Edward Dungan, Jonathan Kwadwo Abrokwah, Forest Dixon, William Snodgrass
  • Patent number: 10297674
    Abstract: In a method for manufacturing a transistor, a gate structure may be formed on a semiconductor substrate. A first material layer may be formed on the gate structure to expose an upper sidewall of the gate structure. A spacer including a second material layer may be formed on the upper sidewall of the gate structure. The first material layer may be isotropically etched using the spacer as an etch mask to form a space. An insulating interlayer may be formed on the semiconductor substrate. The insulating interlayer may not be formed in the space.
    Type: Grant
    Filed: November 28, 2017
    Date of Patent: May 21, 2019
    Assignee: SK hynix Inc.
    Inventor: Dong Yean Oh
  • Patent number: 10256296
    Abstract: A semiconductor structure formed based on selectively recessing a middle-of-line (MOL) oxide layer of the semiconductor structure including multiple gate stacks formed on a substrate. A cap layer of the multiple gate stacks is selectively recessed. An air-gap oxide layer introducing one or more air-gaps is deposited. Chemical-mechanical planarization (CMP) is performed on the deposited air-gap oxide layer.
    Type: Grant
    Filed: November 24, 2015
    Date of Patent: April 9, 2019
    Assignee: International Business Machines Corporation
    Inventors: Injo Ok, Balasubramanian Pranatharthiharan, Soon-Cheon Seo, Charan V. V. S. Surisetty
  • Patent number: 10224692
    Abstract: A surface emitting laser element includes a first distribution Bragg reflector (DBR) layer having a first conductivity type; a second DBR layer having a second conductivity type opposite to the first conductivity type; an active layer located between the first DBR layer and the second DBR layer; an insulating layer formed over the second DBR layer; and a surface conductive layer formed over the insulating layer. In the surface emitting laser element, a first opening, which exposes the insulating layer and overlaps with the active layer when viewed in a thickness direction of the first DBR layer, is formed in the surface conductive layer, and the first opening extends when viewed in the thickness direction.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: March 5, 2019
    Assignee: Rohm Co., Ltd.
    Inventors: Dai Onishi, Masashi Yamamoto, Daiju Takamizu
  • Patent number: 10224236
    Abstract: A method of forming an air gap for a semiconductor device and the device formed are disclosed. The method may include forming an air gap mask layer over a dielectric interconnect layer, the dielectric interconnect layer including a dielectric layer having a conductive interconnect therein and a cap layer over the dielectric layer; patterning the air gap mask layer using extreme ultraviolet (EUV) light and etching to form an air gap mask including an opening in the cap layer exposing a portion of the dielectric layer of the dielectric interconnect layer adjacent to the conductive interconnect; removing the air gap mask; etching an air gap space adjacent to the conductive interconnect within the dielectric layer of the dielectric interconnect layer using the opening in the cap layer; and forming an air gap in the dielectric interconnect layer by depositing an air gap capping layer to seal the air gap space.
    Type: Grant
    Filed: November 15, 2017
    Date of Patent: March 5, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Samuel S. Choi, Ronald G. Filippi, Elbert E. Huang, Naftali E. Lustig, Griselda Bonilla, Andrew H. Simon
  • Patent number: 10177337
    Abstract: A lighting apparatus using an organic light emitting diode according to the present disclosure is configured such that a substrate is planarized by forming an anti-scratch layer on a cathode electrode to fully cover the cathode electrode. The present disclosure having such configuration can uniformly maintain pressing pressure by virtue of the anti-scratch layer even while winding or unwinding the substrate for pulse aging, thereby preventing damages due to scratches or particles.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: January 8, 2019
    Assignee: LG Display Co., Ltd.
    Inventors: Shinbok Lee, Taejoon Song, Namkook Kim
  • Patent number: 10157777
    Abstract: A semiconductor device may include a transistor gate in a device layer; an interconnect layer over the device layer; and an air gap extending through the interconnect layer to contact an upper surface of the transistor gate. The air gap provides a mechanism to reduce both on-resistance and off-capacitance for applications using SOI substrates such as radio frequency switches.
    Type: Grant
    Filed: May 12, 2016
    Date of Patent: December 18, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Zhong-Xiang He, Mark D. Jaffe, Randy L. Wolf, Alvin J. Joseph, Brett T. Cucci, Anthony K. Stamper
  • Patent number: 10147175
    Abstract: A computer-implemented device and method for identifying hardware Trojans and defects based on light emissions from Integrated Circuits (ICs) is provided. A measured emissions map is received based on light emissions captured from a sacrificial test IC. The sacrificial test IC is a partially manufactured IC fabricated to include a set of frontend layers of an IC architecture but not a set of backend layers of the IC architecture. The sacrificial test IC also includes a sacrificial layer for powering devices in the partially manufactured IC without the set of backend layers. An expected emissions map is derived from the sacrificial test IC and the measured emissions map is compared with the expected emissions map to identify deviations from the IC architecture in the frontend layers.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: December 4, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Andrea Bahgat Shehata, Peilin Song, Franco Stellari, Alan J. Weger
  • Patent number: 10049979
    Abstract: An integrated circuit (IC) structure including: a first layer including a first plurality of active devices in a first semiconductor layer over a substrate; a first wiring layer over the first layer; a second layer including a second plurality of active devices within a second semiconductor layer over the first wiring layer; and a second wiring layer over the second layer, wherein the first wiring layer and the second wiring layer each including a first metal resistant to high temperature.
    Type: Grant
    Filed: October 13, 2016
    Date of Patent: August 14, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Mukta G. Farooq, Ian D. W. Melville
  • Patent number: 10026646
    Abstract: A package includes a device die, a molding material molding the device die therein, a through-via substantially penetrating through the molding material, wherein the through-via has an end. The end of the through-via is tapered and has rounded sidewall surfaces. The package further includes a redistribution line electrically coupled to the through-via.
    Type: Grant
    Filed: August 3, 2017
    Date of Patent: July 17, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Hsien-Wei Chen
  • Patent number: 10005661
    Abstract: A method of forming at least one Micro-Electro-Mechanical System (MEMS) includes patterning a wiring layer to form at least one fixed plate and forming a sacrificial material on the wiring layer. The method further includes forming an insulator layer of one or more films over the at least one fixed plate and exposed portions of an underlying substrate to prevent formation of a reaction product between the wiring layer and a sacrificial material. The method further includes forming at least one MEMS beam that is moveable over the at least one fixed plate. The method further includes venting or stripping of the sacrificial material to form at least a first cavity.
    Type: Grant
    Filed: July 20, 2016
    Date of Patent: June 26, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony K. Stamper, John G. Twombly
  • Patent number: 9991185
    Abstract: A power semiconductor package includes a first direct bonded copper (DBC) substrate having a plurality of connection traces on a first face of the first DBC substrate. A plurality of die are coupled to the connection traces, each die coupled to one of the connection traces at a first face of the die. A second DBC substrate includes connection traces on a first face of the second DBC substrate. A second face of each die is coupled to one of the connection traces of the first face of the second DBC substrate. A cavity between the first face of the first DBC substrate and the first face of the second DBC substrate is filled with an encapsulating compound. Terminal pins may be coupled to connection traces on the first face of the first DBC substrate. More than two DBC substrates may be stacked to form a stacked power semiconductor package.
    Type: Grant
    Filed: April 18, 2017
    Date of Patent: June 5, 2018
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Erik Nino Tolentino, Vemal Raja Manikam, Azhair Aripin
  • Patent number: 9972528
    Abstract: A semiconductor device may include a substrate, a first interlayered insulating layer on the substrate having openings, conductive patterns provided in the openings, first to fourth insulating patterns stacked on the substrate provided with the conductive patterns, and a second interlayered insulating layer provided on the fourth insulating pattern.
    Type: Grant
    Filed: December 9, 2016
    Date of Patent: May 15, 2018
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: VietHa Nguyen, Thomas Oszinda, Jongmin Baek, Sanghoon Ahn, Byunghee Kim, Wookyung You, Naein Lee
  • Patent number: 9941156
    Abstract: Devices and methods to reduce parasitic capacitance are disclosed. A device may include a dielectric layer. The device may include first and second conductive structures and an etch stop layer proximate to the dielectric layer. The etch stop layer may define first and second openings proximate to a region of the dielectric layer between the first and second conductive structures. The device may include first and second airgaps within the region. The device may include a layer of material proximate to (e.g., on, above, or over) the etch stop layer. The layer of material proximate to the etch stop layer may cover the first and second airgaps.
    Type: Grant
    Filed: April 1, 2015
    Date of Patent: April 10, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Shiqun Gu, Vidhya Ramachandran, Christine Sung-An Hau-Riege, John Jianhong Zhu, Jeffrey Junhao Xu, Jihong Choi, Jun Chen, Choh Fei Yeap
  • Patent number: 9917058
    Abstract: A semiconductor device and method of manufacture are provided which utilize an air gap to help isolate conductive structures within a dielectric layer. A first etch stop layer is deposited over the conductive structures, and the first etch stop layer is patterned to expose corner portions of the conductive structures. A portion of the dielectric layer is removed to form an opening. A second etch stop layer is deposited to line the opening, wherein the second etch stop layer forms a stepped structure over the corner portions of the conductive structures. Dielectric material is then deposited into the opening such that an air gap is formed to isolate the conductive structures.
    Type: Grant
    Filed: November 14, 2016
    Date of Patent: March 13, 2018
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Szu-Ping Tung, Chih-Chien Chi, Hung-Wen Su
  • Patent number: 9859288
    Abstract: A semiconductor device including a substrate having a trench formed therein, a plurality of gate structures, an isolation layer pattern and an insulating interlayer pattern. The substrate includes a plurality of active regions defined by the trench and spaced apart from each other in a second direction. Each of the active regions extends in a first direction substantially perpendicular to the second direction. Each of the plurality of gate structures includes a tunnel insulation layer pattern, a floating gate, a dielectric layer pattern and a control gate sequentially stacked on the substrate. The isolation layer pattern is formed in the trench. First isolation layer pattern has at least one first air gap between sidewalls of at least one adjacent pair of the floating gates. The insulating interlayer pattern is formed between the gate structures, and the first insulating interlayer pattern extends in the second direction.
    Type: Grant
    Filed: April 30, 2015
    Date of Patent: January 2, 2018
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sang-Woo Oh, Dae-Sin Kim, Young-Kwan Park, Keun-Ho Lee, Seon-Young Lee
  • Patent number: 9837348
    Abstract: A device includes a dielectric layer, a passive device including a portion in the dielectric layer, and a plurality of voids in the dielectric layer and encircling the passive device.
    Type: Grant
    Filed: July 27, 2015
    Date of Patent: December 5, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jiun-Jie Huang, Ling-Sung Wang
  • Patent number: 9824726
    Abstract: A semiconductor device includes a bit line structure located on a semiconductor substrate, an outer bit line spacer located on a first side surface of the bit line structure, an inner bit line spacer including a first part located between the bit line structure and the outer bit line spacer and a second part located between the semiconductor substrate and the outer bit line spacer, and a block bit line spacer located between the outer bit line spacer and the second part of the inner bit line spacer. A first air-gap is defined by the outer bit line spacer, the inner bit line spacer, and the block bit line spacer.
    Type: Grant
    Filed: May 25, 2016
    Date of Patent: November 21, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Hoon Han, Dong-Wan Kim, Ju-Ik Lee
  • Patent number: 9812400
    Abstract: One aspect of the disclosure relates to an integrated circuit structure. The integrated circuit structure may include: a contact line being disposed within a dielectric layer and providing electrical connection to source/drain epitaxial regions surrounding a set of fins, the contact line including: a first portion of the contact line electrically isolated from a second portion of the contact line by a contact line spacer, wherein the first portion and the second portion each include a liner layer and a metal, the liner layer separating the metal from the dielectric layer and the source/drain epitaxial regions, and wherein the metal is directly in contact with the contact line spacer.
    Type: Grant
    Filed: May 13, 2016
    Date of Patent: November 7, 2017
    Assignee: GLOBALFOUNDRIES INC
    Inventors: Veeraraghavan S. Basker, Keith H. Tabakman, Patrick D. Carpenter, Guillaume Bouche, Michael V. Aquilino
  • Patent number: 9810662
    Abstract: The present invention provides a structure for integrating microfluidic devices and electrical biosensors, including: a substrate for carrying an electrical biosensor; a microfluidic channel layer for providing at least a fluid to flow; a cover member for the inflow and outflow of the at least a fluid, and an electrical biosensor, having a biosensing layer and mounted to the cover member in a flip-chip manner; wherein the fluid flows into an inlet, passes the electrical biosensor for sensing and flows out through a fluid outlet.
    Type: Grant
    Filed: January 16, 2017
    Date of Patent: November 7, 2017
    Assignees: CHIP WIN TECHNOLOGY CO., LTD.
    Inventors: Chao-Ching Yu, Lin-Ta Chung, Hsi-Ying Yuan, Ke-Pan Liao
  • Patent number: 9786682
    Abstract: The invention is related to a method for manufacturing a semiconductor device having a barrier pattern. The method includes alternately forming first sacrificial layers and insulating layers forming channel patterns penetrating the first sacrificial layers and the insulating layers, and forming a slit penetrating the first sacrificial layers and the insulating layers. In order to form the barrier pattern, the method also includes forming openings by removing the first sacrificial layers through the slit, and respectively forming conductive layers in the openings. The conductive layers include first barrier patterns having inclined inner surfaces and metal patterns in the first barrier patterns.
    Type: Grant
    Filed: September 2, 2016
    Date of Patent: October 10, 2017
    Assignee: SK Hynix Inc.
    Inventors: Ki Hong Lee, Duk Eui Lee
  • Patent number: 9786601
    Abstract: A semiconductor device includes a semiconductor substrate, a first insulating layer laminated on the semiconductor substrate, a first metal wiring pattern embedded in a wire-forming region of the first insulating layer, a second insulating layer laminated on the first insulating layer, a second metal wiring pattern embedded in a wire-forming region of the second insulating layer and first dummy metal patterns embedded each in a wire-opposed region opposing to the wire-forming region of the second insulating layer and in a non-wire-opposed region opposing to a non-wire-forming region other than the wire-forming region of the second insulating layer, the wire-opposed region and the non-wire-opposed region each in a non-wire-forming region other than the wire-forming region of the first insulating layer.
    Type: Grant
    Filed: April 14, 2016
    Date of Patent: October 10, 2017
    Assignee: ROHM CO., LTD.
    Inventors: Yuichi Nakao, Satoshi Kageyama, Masaru Naitou
  • Patent number: 9786558
    Abstract: Semiconductor devices are provided. A semiconductor device includes a bit line structure and a contact plug. The contact plug is adjacent a sidewall of the bit line structure and is on a sloped surface of the bit line structure. Moreover, in some embodiments, a level of the sloped surface of the bit line structure becomes lower as the sloped surface approaches the sidewall of the bit line structure.
    Type: Grant
    Filed: June 22, 2016
    Date of Patent: October 10, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-Eun Kim, Hoon Jeong, Jae-Hyun Kim, Dong-Won Lee, Jung-Gu Han, Ji-Hye Hwang
  • Patent number: 9748170
    Abstract: A semiconductor device includes a substrate, a plurality of first conductive patterns disposed on the substrate and a plurality of second conductive patterns disposed on the first conductive patterns. Respective air gaps are disposed between adjacent ones of the first conductive patterns overlying a first region of the substrate, while adjacent ones of the first conductive patterns overlying a second region of the substrate do not have air gaps disposed therebetween. The air gaps may include first air gaps, and the device may further include second air gaps disposed between adjacent ones of the second conductive patterns in the second region. Adjacent ones of the second conductive patterns overlying a second region of the substrate may not have air gaps disposed therebetween.
    Type: Grant
    Filed: May 4, 2016
    Date of Patent: August 29, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangho Rha, Jongmin Baek, Wookyung You, Sanghoon Ahn, Naein Lee
  • Patent number: 9736940
    Abstract: A low permeability electrical feed-through involves a laminated structure having a conductor layer sandwiched between adjacent insulator layers, which are sandwiched between adjacent diffusion control layers, where the laminated structure provides a relatively narrow and long, high aspect ratio diffusion channel to inhibit the leakage of gas from within a sealed device to the external environment. The electrical feed-through may comprise lower and upper electrical connection pads that are positioned within different regions of the feed-through but still electrically connected by way of a first via positioned in a sealed region, the conductor layer, and a second via positioned in an external environment region.
    Type: Grant
    Filed: August 4, 2016
    Date of Patent: August 15, 2017
    Assignee: Western Digital Technologies, Inc.
    Inventors: Thomas R. Albrecht, Darya Amin-Shahidi, Vipin Ayanoor-Vitikkate, Toshiki Hirano