Groove Patents (Class 257/622)
  • Patent number: 8563361
    Abstract: A WLCSP method comprises: depositing a metal bump on bonding pads of chips; forming a first packaging layer at front surface of wafer to cover metal bumps while forming an un-covered ring at the edge of wafer to expose the ends of each scribe line located between two adjacent chips; thinning first packaging layer to expose metal bumps; forming a groove on front surface of first packaging layer along each scribe line by cutting along a straight line extended by two ends of scribe line exposed on front surface of un-covered ring; grinding back surface of wafer to form a recessed space and a support ring at the edge of the wafer; depositing a metal layer at bottom surface of wafer in recessed space; cutting off the edge portion of wafer; and separating individual chips from wafer by cutting through first packaging layer, the wafer and metal layer along groove.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: October 22, 2013
    Assignee: Alpha & Omega Semiconductor, Inc.
    Inventors: Yan Xun Xue, Hamza Yilmaz, Yueh-Se Ho, Jun Lu, Ping Huang, Lei Shi, Lei Duan, Yuping Gong
  • Patent number: 8564103
    Abstract: In order to protect IMD layers, particularly low-k dielectrics, a protection film is formed on the sidewall of an opening in the IMD layers prior to etching a trench in the underlying silicon substrate. After etching the trench, such as through a TMAH wet etch, at least part of the protection film can be removed. The protection film can be removed in an anisotropic etch process such that a portion of the protection film remains as a sidewall spacer on the sidewall of the opening within the IMD layers.
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: October 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bin-Yuan Hung, Sung-Hui Huang, Wen Ting Tsai, Dian-Hau Chen, Ching Wei Hsieh
  • Patent number: 8558344
    Abstract: An integrated circuit has a semiconductor die provided in a first IC layer and an inductor fabricated on a second IC layer. The inductor may have a winding and a magnetic core, which are oriented to conduct magnetic flux in a direction parallel to a surface of a semiconductor die. The semiconductor die may have active circuit components fabricated in a first layer of the die, provided under the inductor layer. The integrated circuit may include a flux conductor provided on a side of the die opposite the first layer. PCB connections to active elements on the semiconductor die may progress through the inductor layer as necessary.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: October 15, 2013
    Assignee: Analog Devices, Inc.
    Inventor: Baoxing Chen
  • Publication number: 20130264688
    Abstract: An integrated circuit system includes a first device wafer that has a first semiconductor layer proximate to a first metal layer including a first conductor disposed within a first metal layer oxide. A second device wafer that has a second semiconductor layer proximate to a second metal layer including a second conductor disposed within a second metal layer oxide is also included. A frontside of the first metal layer oxide is bonded to a frontside of the second metal layer oxide at an oxide bonding interface between the first metal layer oxide and the second metal layer oxide. A conductive path couples the first conductor to the second conductor with conductive material formed in a cavity etched between the first conductor and the second conductor and etched through the oxide bonding interface and through the second semiconductor layer from a backside of the second device wafer.
    Type: Application
    Filed: April 6, 2012
    Publication date: October 10, 2013
    Applicant: OMNIVISION TECHNOLOGIES, INC.
    Inventors: Yin Qian, Hsin-Chih Tai, Duli Mao, Tiejun Dai, Howard E. Rhodes, Hongli Yang
  • Publication number: 20130264911
    Abstract: Processes for making a membrane having a curved feature are disclosed. Recesses each in the shape of a reversed, truncated pyramid are formed in a planar substrate surface by KOH etching through a mask. An oxide layer is formed over the substrate surface. The oxide layer can be stripped leaving rounded corners between different facets of the recesses in the substrate surface, and the substrate surface can be used as a profile-transferring substrate surface for making a membrane having concave curved features. Alternatively, a handle layer is attached to the oxide layer and the substrate is removed until the backside of the oxide layer becomes exposed. The exposed backside of the oxide layer includes curved portions protruding away from the handle layer, and can provide a profile-transferring substrate surface for making a membrane having convex curved features.
    Type: Application
    Filed: May 13, 2013
    Publication date: October 10, 2013
    Inventors: Gregory De Brabander, Mark Nepomnishy
  • Publication number: 20130264689
    Abstract: A semiconductor substrate includes a substrate body divided into device regions and a peripheral region outside the device region, and having one surface, another surface substantially facing away from the one surface, trenches defined in the device regions under the one surface and inner surfaces which are formed due to defining of the trenches; active regions formed in the trenches; and a gettering layer formed between the inner surfaces of the substrate body and the active regions.
    Type: Application
    Filed: September 13, 2012
    Publication date: October 10, 2013
    Applicant: SK hynix Inc.
    Inventors: Hyun Joo KIM, Kang Won LEE, Gyu Jei LEE
  • Publication number: 20130264690
    Abstract: The present invention provides a method of producing an epitaxial wafer having a highly flat rear surface without polishing top and rear surfaces of the epitaxial wafer after forming an epitaxial film. A method of producing an epitaxial wafer 100 according to the present invention comprises a step of preparing a semiconductor wafer 10 having a beveled portion 11 formed on its end portion, a first surface 12b, a second surface 12a opposite to the first surface 12b, and edges 13b and 13a on both of the first surface 12b and the second surface 12a, the each edge 13a and 13b is boundary with the beveled portion 11; a step of processing of rolling off an outer peripheral portion 14 of the first surface 12b to form a roll-off region, the outer peripheral portion 14 is extending outward of the wafer from a predetermined position P inner than the position of the edge 13b on 12a the first surface 12b; and a step of forming a first epitaxial film 20 on the second surface 12a.
    Type: Application
    Filed: November 11, 2011
    Publication date: October 10, 2013
    Applicant: SUMCO CORPORATION
    Inventors: Sumihisa Masuda, Kazuhiro Narahara
  • Publication number: 20130256844
    Abstract: Disclosed are a method for fabricating a semiconductor device and the associated semiconductor structure. The method includes exposing a photoresist layer disposed on a semiconductor wafer utilizing a grating mask having a plurality of grating lines to produce exposed lines and unexposed lines in the photoresist layer. The method further includes exposing the photoresist layer utilizing a trim mask having a blocking portion situated over a selected one of the unexposed lines. The photoresist layer may be developed after exposing the photoresist layer utilizing the trim mask. A line may then be etched into the semiconductor wafer where the selected one of the unexposed lines was blocked by the blocking portion of the trim mask. The width of the unexposed lines may be controlled by adjusting an exposure time or an exposure power for the photoresist layer while utilizing the grating mask.
    Type: Application
    Filed: December 12, 2012
    Publication date: October 3, 2013
    Applicant: Newport Fab, LLC dba Jazz Semiconductor
    Inventors: George Talor, Edward Preisler, David J. Howard
  • Publication number: 20130256843
    Abstract: A wafer sawing method comprises steps as follows: A wafer having a first surface and a second surface is firstly provided. An integrated circuit fabricating process is performed on the first surface of the wafer to define a first integrated circuit region and a periphery region surrounding around the first integrated circuit region, wherein the integrated circuit fabricating process includes an etching process used to form a first deep trench having an aspect ratio larger than 10 as well as a depth substantially ranging from one-third to two-third thickness of the wafer on the periphery region. Subsequently, an adhesive tape is disposed on the first surface at least covering the first integrated circuit region and the periphery region. A tensile stress is then imposed on the adhesive tape in order to make the wafer broken off along the first deep trench.
    Type: Application
    Filed: April 3, 2012
    Publication date: October 3, 2013
    Applicant: UNITED MICROELECTRONICS CORPORATION
    Inventors: Hsin-Yu CHEN, Home-Been Cheng, Ching-Li Yang
  • Patent number: 8531007
    Abstract: A semiconductor device is disclosed which includes active section 100, edge termination section 110 having a voltage blocking structure and disposed around active section 100, and separation section 120 having a device separation structure and disposed around edge termination section 110. A surface device structure is formed on the first major surface of active section 100, trench 23 is formed in separation section 120 from the second major surface side, and p+-type separation region 24 is formed on the side wall of trench 23 such that p+-type separation region 24 is in contact with p-type channel stopper region 21 formed in the surface portion on the first major surface side and p-type collector layer 9 formed in the surface portion on the second major surface side. The semiconductor device and the method for manufacturing the semiconductor device according to the invention facilitate preventing the reverse blocking voltage from decreasing and shorten the manufacturing time of the semiconductor device.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: September 10, 2013
    Assignees: Octec, Inc., Fuji Electric Co., Ltd.
    Inventors: Katsuya Okumura, Hiroki Wakimoto, Kazuo Shimoyama, Tomoyuki Yamazaki
  • Publication number: 20130228899
    Abstract: The description relates to a method of patterning a semiconductor device to create a through substrate via. The method produces a through substrate via having no photoresist material therein. An intermediate layer deposited over an interlayer dielectric prevents etching solutions from etching interlayer dielectric sidewalls to prevent peeling. The description relates to a semiconductor apparatus including a semiconductor substrate having a through substrate via therein. The semiconductor apparatus further includes an interlayer dielectric over the semiconductor substrate and an intermediate layer over semiconductor substrate and over sidewalls of the interlayer dielectric.
    Type: Application
    Filed: March 1, 2012
    Publication date: September 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Fang LI, Chun-Li LIN, Chun-Sheng WU, Ding-I LIU
  • Publication number: 20130221495
    Abstract: Described herein is a microchannel that is formed beneath and parallel to a surface of a silicon substrate. Silicon migration technology is utilized to form a microchannel that is buried beneath the surface of the silicon substrate. Etching opens at least one end of the microchannel. Oxidization is utilized through the open end of the microchannel to facilitate a controlled diameter of the microchannel.
    Type: Application
    Filed: December 20, 2012
    Publication date: August 29, 2013
    Applicant: THE HONG KONG UNIVERSITY OF SCIENCE AND TECHNOLOGY
    Inventor: The Hong Kong University of Science and Technology
  • Patent number: 8519416
    Abstract: A nitride-based semiconductor light-emitting device capable of suppressing reduction of characteristics and a yield and method of fabricating the same is described. The method of fabricating includes the steps of forming a groove portion on a nitride-based semiconductor substrate by selectively removing a prescribed region of a second region of the nitride-based semiconductor substrate other than a first region corresponding to a light-emitting portion of a nitride-based semiconductor layer up to a prescribed depth and forming the nitride-based semiconductor layer having a different composition from the nitride-based semiconductor substrate on the first region and the groove portion of the nitride-based semiconductor substrate.
    Type: Grant
    Filed: October 9, 2009
    Date of Patent: August 27, 2013
    Assignee: Future Light, LLC
    Inventors: Takashi Kano, Masayuki Hata, Yasuhiko Nomura
  • Publication number: 20130214392
    Abstract: Disclosed herein are various methods of forming stepped isolation structures for semiconductor devices using a spacer technique. In one example, the method includes forming a first trench in a semiconducting substrate, wherein the first trench has a bottom surface, a width and a depth, the depth of the first trench being less than a target final depth for a stepped trench isolation structure, performing an etching process through the first trench on an exposed portion of the bottom surface of the first trench to form a second trench in the substrate, wherein the second trench has a width and a depth, and wherein the width of the second trench is less than the width of the first trench, and forming the stepped isolation structure in the first and second trenches.
    Type: Application
    Filed: February 20, 2012
    Publication date: August 22, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stephan Kronholz, Jorg Radecker, Hans-Juergen Thees, Peter Javorka
  • Publication number: 20130214391
    Abstract: A combination of gases including at least a fluorocarbon gas, oxygen, and an inert sputter gas is employed to etch at least one opening into an organic photoresist. The amount of oxygen is controlled to a level that limits conversion of a metallic nitride material in an underlying hard mask layer to a metal oxide, and causes organic polymers generated from the organic photoresist to cover peripheral regions of each opening formed in the organic photoresist. The hard mask layer is etched with a taper by the oxygen-limited fluorine-based etch chemistry provided by the combination of gases. The taper angle can be controlled such that a shrink ratio of the lateral dimension by the etch can exceed 2.0.
    Type: Application
    Filed: February 17, 2012
    Publication date: August 22, 2013
    Applicant: International Business Machines Corporation
    Inventors: Samuel S. Choi, Wai-kin Li
  • Patent number: 8513089
    Abstract: A semiconductor wafer has a semiconductor substrate and films on the substrate. The substrate and/or the films have at least one etch line creating a discontinuous surface that reduces residual stress in the wafer. Reducing residual stress in the semiconductor wafer reduces warpage of the wafer when the wafer is thin. Additionally, isolation plugs may be used to fill a portion of the etch lines to prevent shorting of the layers.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: August 20, 2013
    Assignee: QUALCOMM Incorporated
    Inventor: Arvind Chandrasekaran
  • Patent number: 8502249
    Abstract: A semiconductor light-emitting device capable of improving current distribution, and a method for manufacturing the same is disclosed, wherein the semiconductor light-emitting device comprises a substrate; an N-type nitride semiconductor layer on the substrate; an active layer on the N-type nitride semiconductor layer; a P-type nitride semiconductor layer on the active layer; a groove in the P-type nitride semiconductor layer to form a predetermined pattern in the P-type nitride semiconductor layer; a light guide of transparent non-conductive material in the groove; and a transparent electrode layer on the P-type nitride semiconductor layer with the light guide.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: August 6, 2013
    Assignee: LG Display Co., Ltd.
    Inventors: Ung Lee, Yoon Seok Park, Won Keun Cho, So Young Jang
  • Publication number: 20130193545
    Abstract: A semiconductor apparatus and a method of fabricating the same are provided. The semiconductor apparatus includes a body part having a first surface and a second surface facing each other, a first trench formed into the first surface of the body part, a second trench formed into the second surface of the body part, an opening connecting the first trench and the second trench to each other, a first adhesion enhancer, such as a rough surface, formed on a bottom surface of the first trench, and a second adhesion enhancer, such as a rough surface, formed on the second surface of the body part.
    Type: Application
    Filed: January 24, 2013
    Publication date: August 1, 2013
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Samsung Electronics Co., Ltd.
  • Publication number: 20130193563
    Abstract: A trench capacitor and method of fabrication are disclosed. The SOI region is doped such that a selective isotropic etch used for trench widening does not cause appreciable pullback of the SOI region, and no spacers are needed in the upper portion of the trench.
    Type: Application
    Filed: March 13, 2013
    Publication date: August 1, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Patent number: 8497528
    Abstract: A structure for a field effect transistor on a substrate that includes a gate stack, an isolation structure and a source/drain (S/D) recess cavity below the top surface of the substrate disposed between the gate stack and the isolation structure. The recess cavity having a lower portion and an upper portion. The lower portion having a first strained layer and a first dielectric film. The first strained layer disposed between the isolation structure and the first dielectric film. A thickness of the first dielectric film less than a thickness of the first strained layer. The upper portion having a second strained layer overlying the first strained layer and first dielectric film.
    Type: Grant
    Filed: May 6, 2010
    Date of Patent: July 30, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung-Lin Lee, Chih-Hao Chang, Chih-Hsin Ko, Feng Yuan, Jeff J. Xu
  • Publication number: 20130187169
    Abstract: Embodiments of the present invention provide systems and methods for depositing materials on either side of a freestanding film using selectively thermally-assisted chemical vapor deposition (STA-CVD), and structures formed using same. A freestanding film, which is suspended over a cavity defined in a substrate, is exposed to a fluidic CVD precursor that reacts to form a solid material when exposed to heat. The freestanding film is then selectively heated in the presence of the precursor. The CVD precursor preferentially deposits on the surface(s) of the freestanding film.
    Type: Application
    Filed: March 12, 2013
    Publication date: July 25, 2013
    Inventor: The Aerospace Corporation
  • Publication number: 20130181310
    Abstract: A semiconductor apparatus and an image sensor package. The image sensor package includes a semiconductor apparatus including a body having a first surface and a second surface which face each other, a first trench formed in the first surface of the body, a second trench formed in the second surface of the body, a third trench formed in a bottom surface of the second trench, and an aperture connecting the first trench to the third trench, a transparent member placed in the third trench and covering the aperture, a mounting board placed under the second surface of the body, and an image sensor chip placed between the mounting board and the transparent member and surrounded by the second trench.
    Type: Application
    Filed: August 30, 2012
    Publication date: July 18, 2013
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Hyun-Su JUN
  • Patent number: 8487412
    Abstract: For simplifying the dual-damascene formation steps of a multilevel Cu interconnect, a formation step of an antireflective film below a photoresist film is omitted. Described specifically, an interlayer insulating film is dry etched with a photoresist film formed thereover as a mask, and interconnect trenches are formed by terminating etching at the surface of a stopper film formed in the interlayer insulating film. The stopper film is made of an SiCN film having a low optical reflectance, thereby causing it to serve as an antireflective film when the photoresist film is exposed.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: July 16, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Katsuhiko Hotta, Kyoko Sasahara
  • Publication number: 20130175665
    Abstract: A trench structure that in one embodiment includes a trench present in a substrate, and a dielectric layer that is continuously present on the sidewalls and base of the trench. The dielectric layer has a dielectric constant that is greater than 30. The dielectric layer is composed of tetragonal phase hafnium oxide with silicon present in the grain boundaries of the tetragonal phase hafnium oxide in an amount ranging from 3 wt. % to 20 wt. %.
    Type: Application
    Filed: January 6, 2012
    Publication date: July 11, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Chudzik, Bachir Dirahoui, Rishikesh Krishnan, Siddarth A. Krishnan, Oh-jung Kwon, Paul C. Parries, Hongwen Yan
  • Patent number: 8482105
    Abstract: A semiconductor substrate has a plurality of groove portions formed along scribe lines. The semiconductor substrate includes: a unit region in contact with at least any one of the plurality of groove portions; and a wiring electrode with a portion thereof arranged within the unit region. Further, the plurality of groove portions have a wide-port structure in which a wide width portion wider in width than a groove lower portion including a bottom portion is formed at an inlet port thereof.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: July 9, 2013
    Assignees: Headway Technologies, Inc., Sae Magnetics (H.K.) Ltd.
    Inventors: Yoshitaka Sasaki, Hiroyuki Ito, Atsushi Iijima
  • Patent number: 8482137
    Abstract: One aspect of the present invention is a method of mounting a semiconductor chip having: a step of forming a resin coating on a surface of a path connecting a bonding pad on a surface of a semiconductor chip and an electrode pad formed on a surface of an insulating base material; a step of forming, by laser beam machining, a wiring gutter having a depth that is equal to or greater than a thickness of the resin coating along the path for connecting the bonding pad and the electrode pad; a step of depositing a plating catalyst on a surface of the wiring gutter; a step of removing the resin coating; and a step of forming an electroless plating coating only at a site where the plating catalyst remains.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: July 9, 2013
    Assignee: Panasonic Corporation
    Inventors: Shingo Yoshioka, Hiroaki Fujiwara
  • Patent number: 8476738
    Abstract: An electronic component package is described. The electronic component package includes a first electronic component package module mounted on a surface of a packaging layer. A second electronic component package module laminated on a bottom of the first electronic component package module is mounted on a surface of a packaging layer. The first and second electronic component package modules respectively include at least two semiconductor chips laminated. A first redistribution layer is between the first and the second electronic component package modules, electrically connected to the first and the second electronic component package modules. A conductive bump is mounted on a bottom of the second electronic component package module, electrically connected to the second electronic component package module.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: July 2, 2013
    Inventor: Chien-Hung Liu
  • Patent number: 8476734
    Abstract: A semiconductor component includes a semiconductor body, in which are formed: a substrate of a first conduction type, a buried semiconductor layer of a second conduction type arranged on the substrate, and a functional unit semiconductor layer of a third conduction type arranged on the buried semiconductor layer, in which at least two semiconductor functional units arranged laterally alongside one another are provided. The buried semiconductor layer is part of at least one semiconductor functional unit, the semiconductor functional units being electrically insulated from one another by an isolation structure which permeates the functional unit semiconductor layer, the buried semiconductor layer, and the substrate. The isolation structure includes at least one trench and an electrically conductive contact to the substrate, the contact to the substrate being electrically insulated from the functional unit semiconductor layer and the buried layer by the at least one trench.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: July 2, 2013
    Assignee: Infineon Technologies AG
    Inventors: Andreas Meiser, Walter Hartner, Hermann Gruber, Dietrich Bonart, Thomas Gross
  • Publication number: 20130161794
    Abstract: Provided are an internally reformed substrate for epitaxial growth having an arbitrary warpage shape and/or an arbitrary warpage amount, an internally reformed substrate with a multilayer film using the internally reformed substrate for epitaxial growth, a semiconductor device, a bulk semiconductor substrate, and manufacturing methods therefor. The internally reformed substrate for epitaxial growth includes: a single crystal substrate; and a heat-denatured layer formed in an internal portion of the single crystal substrate by laser irradiation to the single crystal substrate.
    Type: Application
    Filed: March 4, 2011
    Publication date: June 27, 2013
    Applicants: DISCO CORPORATION, NAMIKI SEIMITSU HOUSEKI KABUSHIKI KAISHA
    Inventors: Hideo Aida, Natsuko Aota, Hitoshi Hoshino, Kenji Furuta, Tomosaburo Hamamoto, Keiji Honjo
  • Publication number: 20130161797
    Abstract: In order to correct warpage resulting from the formation of a multilayer film, provided are a single crystal substrate which includes a heat-denatured layer provided in one of two regions including a first region and a second region obtained by bisecting the single crystal substrate in a thickness direction thereof, and which is warped convexly toward a side of a surface of the region provided with the heat-denatured layer, a manufacturing method for the single crystal substrate, a manufacturing method for a single crystal substrate with a multilayer film using the single crystal substrate, and an element manufacturing method using the manufacturing method for a single crystal substrate with a multilayer film.
    Type: Application
    Filed: March 4, 2011
    Publication date: June 27, 2013
    Applicants: DISCO CORPORATION, NAMIKI SEIMITSU HOUSEKI KABUSHIKI KAISHA
    Inventors: Hideo Aida, Natsuko Aota, Hitoshi Hoshino, Kenji Furuta, Tomosaburo Hamamoto, Keiji Honjo
  • Patent number: 8471368
    Abstract: This invention discloses a semiconductor wafer for manufacturing electronic circuit thereon. The semiconductor substrate further includes an etch-back indicator that includes trenches of different sizes having polysilicon filled in the trenches and then completely removed from some of the trenches of greater planar trench dimensions and the polysilicon still remaining in a bottom portion in some of the trenches having smaller planar trench dimensions.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: June 25, 2013
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Yu Wang, Tiesheng Li, Sung-Shan Tai, Hong Chang
  • Publication number: 20130140682
    Abstract: A buried word line includes a substrate having thereon a recessed trench, an insulating layer on a bottom surface and a sidewall of the recessed trench, and a lining layer in the recessed trench. The lining layer has a cleaned surface that is cleaned by a cleaning solution comprising HF or H3PO4. A tungsten layer is selectively deposited on the cleaned surface of the lining layer.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Inventors: Chi-Wen Huang, Kuo-Hui Su
  • Patent number: 8455301
    Abstract: A method of forming a semiconductor package includes attaching a semiconductor substrate on a support substrate, wherein the semiconductor substrate includes a plurality of first semiconductor chips and a chip cutting region that separates respective ones of the semiconductor chips. A first cutting groove is formed that has a first kerf width between first and second ones of the plurality of first semiconductor chips. A plurality of second semiconductor chips is attached to the plurality of first semiconductor chips. A molding layer is formed so as to fill the first cutting groove and a second cutting groove having a second kerf width that is less than the first kerf width is formed in the molding layer so as to form individual molding layers covering one of the plurality of first semiconductor chips and one of the plurality of second semiconductor chips.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: June 4, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Teak-hoon Lee, Won-keun Kim, Dong-hyeon Jang, Ho-geon Song, Sung-jun Im
  • Patent number: 8455926
    Abstract: Systems, methods and apparatus are provided through which in some embodiments a mass spectrometer micro-leak includes a number of channels fabricated by semiconductor processing tools and that includes a number of inlet holes that provide access to the channels.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: June 4, 2013
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Dan N. Harpold, Hasso B. Niemann, Brian G. Jamieson, Bernard A. Lynch
  • Publication number: 20130134530
    Abstract: Embodiments related to semiconductor manufacturing and semiconductor devices with semiconductor structure are described and depicted.
    Type: Application
    Filed: November 24, 2011
    Publication date: May 30, 2013
    Inventors: Thoralf KAUTZSCH, Boris BINDER, Torsten HELM, Stefan KOLB, Marc PROBST, Uwe RUDOLPH
  • Patent number: 8445994
    Abstract: A semiconductor wafer has a semiconductor substrate and films on the substrate. The substrate and/or the films have at least one etch line creating a discontinuous surface that reduces residual stress in the wafer. Reducing residual stress in the semiconductor wafer reduces warpage of the wafer when the wafer is thin. Additionally, isolation plugs may be used to fill a portion of the etch lines to prevent shorting of the layers.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: May 21, 2013
    Assignee: QUALCOMM Incorporated
    Inventor: Arvind Chandrasekaran
  • Patent number: 8445995
    Abstract: A manufacturing method of a semiconductor structure includes providing a substrate having an upper surface and a bottom surface. First openings are formed in the substrate. An oxidization process is performed to oxidize the substrate having the first openings therein to form an oxide-containing material layer, and the oxide-containing material layer has second openings therein. A conductive material is filled into the second openings to form conductive plugs. A first device layer is formed a first surface of the oxide-containing material layer, and is partially or fully electrically connected to the conductive plugs. A second device layer is formed on a second surface of the oxide-containing material layer, and is partially or fully electrically connected to the conductive plugs.
    Type: Grant
    Filed: May 27, 2011
    Date of Patent: May 21, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Cha-Hsin Lin, Tzu-Kun Ku
  • Patent number: 8441107
    Abstract: An apparatus includes a first device. The first device includes a first projection and a first gate structure, the first projection extending upwardly from a substrate and having a first channel region therein, and the first gate structure engaging the first projection adjacent the first channel region. The first structure includes an opening over the first channel region, and a conformal, pure metal with a low resistivity disposed in the opening. The apparatus also includes a second device that includes a second projection and a second gate structure, the second projection extending upwardly from the substrate and having a second channel region therein, and the second gate structure engaging the second projection adjacent the second channel region. The second structure includes a silicide disposed over the second channel region, wherein the silicide includes a metal that is the same metal disposed in the opening.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: May 14, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Peng-Soon Lim, Chia-Pin Lin, Kuang-Yuan Hsu
  • Publication number: 20130112274
    Abstract: A low-cost fabrication technique, readily extensible to volume manufacturing is presented for thin strip solar cells. A wafer structure is disclosed for formation of thin strips. Plurality of strips is formed and mechanically supported by a thin layer of silicon with uneven surface. Processing methods are also disclosed to fabricate solar cells.
    Type: Application
    Filed: November 6, 2011
    Publication date: May 9, 2013
    Applicant: QXWAVE INC
    Inventor: Xiangcun Long
  • Patent number: 8426945
    Abstract: To provide a semiconductor device in which a channel formation region can be thinned without adversely affecting a source region and a drain region through a simple process and a method for manufacturing the semiconductor device. In the method for manufacturing a semiconductor device, a semiconductor film, having a thickness smaller than a height of a projection of a substrate, is formed over a surface of the substrate having the projections; the semiconductor film is etched to have an island shape with a resist used as a mask; the resist is etched to expose a portion of the semiconductor film which covers a top surface of the projection; and the exposed portion of the semiconductor film is etched to be thin, while the adjacent portions of the semiconductor film on both sides of the projection remain covered with the resist.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: April 23, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masaharu Nagai, Takafumi Mizoguchi
  • Patent number: 8426949
    Abstract: A mesa type semiconductor device and its manufacturing method are offered to increase a withstand voltage as well as reducing a leakage current. An N?-type semiconductor layer is formed on a surface of a semiconductor substrate, and a P-type semiconductor layer is formed on the N?-type semiconductor layer. After that, a mesa groove is formed by etching the P-type semiconductor layer, a PN junction, the N?-type semiconductor layer and a partial thickness of the semiconductor substrate so that a width of the mesa groove grows from a surface of the P-type semiconductor layer toward the semiconductor substrate. Subsequent wet etching removes a damaged layer in an inner wall of the mesa groove caused by the preceding etching and transforms the mesa groove in a region close to a surface of the P-type semiconductor layer so that a width of the mesa groove increases toward the surface of the P-type semiconductor layer. After that, the semiconductor substrate and the layers stacked on it are diced.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: April 23, 2013
    Assignees: SANYO Semiconductor Manufacturing Co., Ltd., Semiconductor Components Industries, LLC
    Inventors: Katsuyuki Seki, Akira Suzuki, Keita Odajima
  • Patent number: 8426948
    Abstract: In a laminated semiconductor substrate, a plurality of semiconductor substrates are laminated. Each of the semiconductor substrate has a plurality of scribe-groove parts formed along scribe lines. Further, each of the semiconductor substrate has a plurality of device regions insulated from each other and has a semiconductor device formed therein. Further, an uppermost substrate and a lowermost substrate have electromagnetic shielding layer formed in regions other than the scribe-groove parts using a ferromagnetic body. Further, in the laminated semiconductor substrate, a through hole which penetrates the plurality of semiconductor substrates laminated in a laminated direction is formed in the scribe-groove part, and the laminated semiconductor substrate has a through electrode penetrating the plurality of semiconductor substrates through the through hole.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: April 23, 2013
    Assignees: Headway Technologies, Inc., Sae Magnetics (H.K.) Ltd.
    Inventors: Yoshitaka Sasaki, Hiroyuki Ito, Atsushi Iijima
  • Patent number: 8426947
    Abstract: In a laminated semiconductor substrate, a plurality of semiconductor substrates are laminated. Each of the semiconductor substrate has a plurality of scribe-groove parts formed along scribe lines. Further, each of the semiconductor substrate has a plurality of device regions insulated from each other and has a semiconductor device formed therein. Further, an uppermost substrate and a lowermost substrate have an electromagnetic shielding layer formed using a ferromagnetic body. The electromagnetic shielding layer is formed in a shielding region except the extending zone. The extending zone is set a part which the wiring electrode crosses, in a peripheral edge part of the device region.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: April 23, 2013
    Assignees: Headway Technologies, Inc., SAE Magentics (H.K.) Ltd.
    Inventors: Yoshitaka Sasaki, Hiroyuki Ito, Atsushi Iijima
  • Publication number: 20130093062
    Abstract: A semiconductor structure includes a substrate, a recess and a material. The recess is located in the substrate, wherein the recess has an upper part and a lower part. The minimum width of the upper part is larger than the maximum width of the lower part. The material is located in the recess.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Inventors: Ying-Chih Lin, Hsuan-Hsu Chen, Jiunn-Hsiung Liao, Lung-En Kuo
  • Publication number: 20130093063
    Abstract: A bonded substrate having a plurality of grooves and a method of manufacturing the same. The method includes the following steps of implanting ions into a first substrate, thereby forming an ion implantation layer, bonding the first substrate to a second substrate having a plurality of grooves in one surface thereof such that the first substrate is bonded to the one surface, and cleaving the first substrate along the ion implantation layer.
    Type: Application
    Filed: October 11, 2012
    Publication date: April 18, 2013
    Applicant: SAMSUNG CORNING PRECISION MATERIALS CO., LTD.
    Inventor: Samsung Corning Precision Materials Co., Ltd.
  • Patent number: 8421194
    Abstract: A trench structure and an integrated circuit comprising sub-lithographic trench structures in a substrate. In one embodiment the trench structure is created by forming sets of trenches with a lithographic mask and filling the sets of trenches with sets of step spacer blocks comprising two alternating spacer materials which are separately removable from each other. In one embodiment, the trench structures formed are one-nth the thickness of the lithographic mask's feature size. The size of the trench structures being dependent on the thickness and number of spacer material layers used to form the set of step spacer blocks. The number of spacer material layers being n/2 and the thickness of each spacer material layer being one-nth of the lithographic mask's feature size.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chung H. Lam, Hemantha K. Wickramasinghe
  • Publication number: 20130087894
    Abstract: In accordance with one or more embodiments, a flange package comprises a flange and an interposer having two or more fingers disposed in an interposer trench. The flange has a mold lock formed about a periphery of the interposer trench. A dielectric ring comprising a dielectric material is formed in the interposer trench, and in and around the periphery of the mold lock. A semiconductor die is disposed within the dielectric ring having gate pads and source pads formed on a first side, and having drain pads disposed on a second side of the die. The gate pads are coupled to the interposer and the source pads are coupled to the flange. A gate lead is coupled to the interposer and a drain lead is coupled to the drain pads. Other embodiments are disclosed.
    Type: Application
    Filed: November 30, 2012
    Publication date: April 11, 2013
    Applicant: Estivation Properties LLC
    Inventor: Estivation Properties LLC
  • Publication number: 20130075871
    Abstract: A wafer includes an active region and a kerf region surrounding at least a portion of the active region. The wafer also includes a target region having a rectangular shape with a width and length greater than the width, the target region including one or more target patterns, at least one of the target patterns being formed by two sub-patterns disposed at opposing corners of target rectangle disposable within the target region.
    Type: Application
    Filed: November 26, 2012
    Publication date: March 28, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: International Business Machines Corporation
  • Publication number: 20130075870
    Abstract: A device and corresponding fabrication method includes a vertical stack having an intermediate layer between a lower region and an upper region. The intermediate layer is extended by a protection layer. The vertical stack has a free lateral face on which the lower region, the upper region and the protection layer are exposed.
    Type: Application
    Filed: September 19, 2012
    Publication date: March 28, 2013
    Applicants: STMicroelectronics SA, STMicroelectronics (Crolles 2) SAS
    Inventors: STMicoelectronics (Crolles 2) SAS, STMicroelectronics SA,
  • Patent number: RE44292
    Abstract: There are included steps of forming a silicon nitride layer on a silicon layer or a silicon oxide layer, loading the silicon layer or the silicon oxide layer and the silicon nitride layer in a dry etching atmosphere, and selectively etching the silicon nitride layer with respect to the silicon layer or the silicon oxide layer by flowing a fluorine gas consisting of any one of CH2F2, CH3F, or CHF3 and an inert gas to the dry etching atmosphere. Hence, in the etching process of the silicon nitride layer, the etching selectivity of the silicon nitride layer to Si or SiO2 can be enhanced and also etching anisotropy can be enhanced.
    Type: Grant
    Filed: April 23, 2004
    Date of Patent: June 11, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Tadashi Oshima