At Least One Layer Of Silicon Oxynitride Patents (Class 257/639)
  • Patent number: 6995472
    Abstract: An insulating tube includes a underlying insulating film, a first sidewall insulating film disposed on the underlying insulating film, a second sidewall insulating film disposed on the underlying insulating film, opposite to the first sidewall insulating film so as to provide a cavity between the first and second sidewall insulating films having the same height as the first sidewall insulating film, and an upper insulating film provided over the first and second sidewall insulating films.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: February 7, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi Matsumura, Takahito Nakajima, Hiroshi Kawamoto, Mikie Miyasato, Yoshihiro Uozumi
  • Patent number: 6982468
    Abstract: A semiconductor device and a method for manufacturing the same of forming a silicon nitride film selectively without giving damages or contaminations to a surface of the silicon substrate thereby forming different types of gate dielectrics in one identical silicon substrate, are obtained by forming a silicon dioxide on the surface of a silicon substrate, then removing a portion thereof, forming a silicon nitride film to the surface of the substrate from which the silicon dioxide has been removed and, simultaneously, introducing nitrogen to the surface of the silicon dioxide which is left not being removed or, alternatively, depositing a silicon dioxide on the surface of the silicon substrate by chemical vapor deposition, then removing a portion thereof, forming a silicon nitride film on the surface of a substrate from which the silicon dioxide has been removed, and, simultaneously, introducing nitrogen to the surface of the silicon dioxide left not being removed, successively, dissolving and removing nitrogen
    Type: Grant
    Filed: September 16, 2004
    Date of Patent: January 3, 2006
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Shimpei Tsujikawa, Toshiyuki Mine, Jiro Yugami, Natsuki Yokoyama, Tsuyoshi Yamauchi
  • Patent number: 6960537
    Abstract: A high k dielectric film and methods for forming the same are disclosed. The high k material includes two peaks of impurity concentration, particularly nitrogen, such as at a lower interface and upper interface, making the layer particularly suitable for transistor gate dielectric applications. The methods of formation include low temperature processes, particularly CVD using a remote plasma generator and atomic layer deposition using selective incorporation of nitrogen in the cyclic process. Advantageously, nitrogen levels are tailored during the deposition process and temperatures are low enough to avoid interdiffusion and allow maintenance of the desired impurity profile.
    Type: Grant
    Filed: September 26, 2002
    Date of Patent: November 1, 2005
    Assignee: ASM America, Inc.
    Inventors: Eric J. Shero, Christophe Pomarede
  • Patent number: 6949833
    Abstract: The invention offers a structure that includes a substrate with a top surface and a bottom surface, an etched dielectric layer having sidewalls and an upper surface, wherein the etched dielectric layer with a thickness of v, is positioned upon a first portion of the top surface of the substrate but not positioned upon a second portion of the top surface of the substrate having a width equal to x, an atomic layer deposited (ALD) film with a thickness of y, positioned upon the upper surface of the etched dielectric layer, the sidewalls of the etched dielectric layer, and the second portion of the top surface of the substrate, and a trench formed by the atomic layer with a width equal to x?2y.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: September 27, 2005
    Assignee: Seagate Technology LLC
    Inventors: William Jude O'Kane, Robert William Lamberton
  • Patent number: 6943432
    Abstract: The invention includes a semiconductor construction comprising a semiconductor substrate, and a first layer comprising silicon and nitrogen over the substrate. A second layer comprising at least 50 weight% carbon is over and physically against the first layer, and a third layer consisting essentially of a photoresist system is over and physically against the second layer. The invention also includes methodology for forming the semiconductor construction.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: September 13, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Yoshiki Hishiro
  • Patent number: 6924197
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: August 2, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6921937
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: July 26, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6911707
    Abstract: An ultrathin gate dielectric having a graded dielectric constant and a method for forming the same are provided. The gate dielectric is believed to allow enhanced performance of semiconductor devices including transistors and dual-gate memory cells. A thin nitrogen-containing oxide, preferably having a thickness of less than about 10 angstroms, is formed on a semiconductor substrate. A silicon nitride layer having a thickness of less than about 30 angstroms may be formed over the nitrogen-containing oxide. The oxide and nitride layers are annealed in ammonia and nitrous oxide ambients, and the nitride layer thickness is reduced using a flowing-gas etch process. The resulting two-layer gate dielectric is believed to provide increased capacitance as compared to a silicon dioxide dielectric while maintaining favorable interface properties with the underlying substrate. In an alternative embodiment, a different high dielectric constant material is substituted for the silicon nitride.
    Type: Grant
    Filed: December 9, 1998
    Date of Patent: June 28, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Dim-Lee Kwong, H. Jim Fulford, Jr.
  • Patent number: 6887774
    Abstract: Methods and apparatus for forming word line stacks comprise forming a thin nitride layer coupled between a bottom silicon layer and a conductor layer. In a further embodiment, a diffusion barrier layer is coupled between the thin nitride layer and the bottom silicon layer. The thin nitride layer is formed by annealing a silicon oxide film in a nitrogen-containing ambient.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: May 3, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Randhir P.S. Thakur, Scott DeBoer
  • Patent number: 6888225
    Abstract: A process for forming a final passivation layer over an integrated circuit comprises a step of forming, over a surface of the integrated circuit, a protective film by means of High-Density Plasma Chemical Vapor Deposition.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: May 3, 2005
    Assignee: STMicroelectronics S.r.l.
    Inventors: Giorgio De Santi, Luca Zanotti
  • Patent number: 6876065
    Abstract: A semiconductor device and fabrication method thereof that uses a far ultraviolet ray photolithography, which may be used to prevent the lift phenomenon of a photoresist pattern, is disclosed. The semiconductor device may be fabricated by the process of: forming a film which is an object of forming a pattern on a structure of a semiconductor substrate; forming a anti-reflection layer on the film to form a stacking structure including the film and the anti-reflection layer; performing a plasma treatment to form grooves on a upper surface of the stacking structure; forming a photoresist pattern on the stacking structure on which the grooves are formed; and etching the stacking structure using the photoresist pattern as a mask to form a stacking structure pattern.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: April 5, 2005
    Assignee: Anam Semiconductor Inc.
    Inventor: Young-Min Kwon
  • Patent number: 6867466
    Abstract: A memory device with an improved passivation structure. The memory device includes a semiconductor substrate with memory units thereon, an interconnect structure over the surface of the semiconductor substrate to connect with the memory units, and a passivation structure over the surface of the interconnect structure. The passivation structure comprises a dielectric layer over the surface of the interconnect structure and a silicon-oxy-nitride (SiOxNy) layer over the surface of the dielectric layer.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: March 15, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Hung-Yu Chiu, U-Way Tseng, Wen-Pin Lu, Cheng-Chen Huseh, Pei-Ren Jeng, Fu-Hsiang Hsu
  • Patent number: 6858898
    Abstract: An object of the present invention is to prevent the deterioration of a TFT (thin film transistor). The deterioration of the TFT by a BT test is prevented by forming a silicon oxide nitride film between the semiconductor layer of the TFT and a substrate, wherein the silicon oxide nitride film ranges from 0.3 to 1.6 in a ratio of the concentration of N to the concentration of Si.
    Type: Grant
    Filed: March 22, 2000
    Date of Patent: February 22, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masahiko Hayakawa, Mitsunori Sakama, Satoshi Toriumi
  • Patent number: 6853002
    Abstract: A hydrogenation method that utilizes plasma directly exposes a crystalline semiconductor film to the plasma, and therefore involves the problem that the crystalline semiconductor film is damaged by the ions generated simultaneously in the plasma. If a substrate is heated to 400° C. or above to recover this damage, hydrogen is re-emitted from the crystalline semiconductor film.
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: February 8, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Taketomi Asami, Hidehito Kitakado, Yasuyuki Arai
  • Publication number: 20040251521
    Abstract: Disclosed is a semiconductor device comprising a semiconductor substrate, a gate electrode, a first insulating film formed between the semiconductor substrate and the gate electrode, and a second insulating film formed along a top surface or a side surface of the gate electrode and including a lower silicon nitride film containing nitrogen, silicon and hydrogen and an upper silicon nitride film formed on the lower silicon nitride film and containing nitrogen, silicon and hydrogen, and wherein a composition ratio N/Si of nitrogen (N) to silicon (Si) in the lower silicon nitride film is higher than that in the upper silicon nitride film.
    Type: Application
    Filed: July 1, 2004
    Publication date: December 16, 2004
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masayuki Tanaka, Yoshio Ozawa, Shigehiko Saida, Akira Goda, Mitsuhiro Noguchi, Yuichiro Mitani, Yoshitaka Tsunashima
  • Patent number: 6815805
    Abstract: The present invention provides a flash memory integrated circuit and a method of fabricating the same. A tunnel dielectric in an erasable programmable read only memory (EPROM) device is nitrided with a hydrogen-bearing compound, particularly ammonia. Hydrogen is thus incorporated into the tunnel dielectric, along with nitrogen. The gate stack is etched and completed, including protective sidewall spacers and dielectric cap, and the stack lined with a barrier to hydroxyl and hydrogen species. Though the liner advantageously reduces impurity diffusion through to the tunnel dielectric and substrate interface, it also reduces hydrogen diffusion in any subsequent hydrogen anneal. Hydrogen is provided to the tunnel dielectric, however, in the prior exposure to ammonia.
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Ronald A. Weimer
  • Patent number: 6798065
    Abstract: Method and apparatus for plasma etching both metal and inorganic dielectric layers in a single chamber during deep sub-micron semiconductor fabrication. Fluorine based chemistries, or a mixture of fluorine and chlorine based chemistries, are used to etch the inorganic dielectric layer. A switch is then made to chlorine based chemistries, within the same etching chamber, which are utilized to etch the metal layer. Overetching may also be performed with chlorine based chemistries to clear any residuals.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: September 28, 2004
    Assignee: Newport Fab, LLC
    Inventors: Shao-Wen Hsia, Michael J. Berg, Maureen R. Brongo
  • Patent number: 6798026
    Abstract: Methods and apparatus for forming word line stacks comprise forming a thin nitride layer coupled between a bottom silicon layer and a conductor layer. In a further embodiment, a diffusion barrier layer is coupled between the thin nitride layer and the bottom silicon layer. The thin nitride layer is formed by annealing a silicon oxide film in a nitrogen-containing ambient.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: September 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Randhir P. S. Thakur, Scott DeBoer
  • Patent number: 6784485
    Abstract: A semiconductor device containing a diffusion barrier layer is provided. The semiconductor device includes at least a semiconductor substrate containing conductive metal elements; and, a diffusion barrier layer applied to at least a portion of the substrate in contact with the conductive metal elements, the diffusion barrier layer having an upper surface and a lower surface and a central portion, and being formed from silicon, carbon, nitrogen and hydrogen with the nitrogen being non-uniformly distributed throughout the diffusion barrier layer. Thus, the nitrogen is more concentrated near the lower and upper surfaces of the diffusion barrier layer as compared to the central portion of the diffusion barrier layer. Methods for making the semiconductor devices are also provided.
    Type: Grant
    Filed: February 11, 2000
    Date of Patent: August 31, 2004
    Assignee: International Business Machines Corporation
    Inventors: Stephan Alan Cohen, Timothy Joseph Dalton, John Anthony Fitzsimmons, Stephen McConnell Gates, Lynne M. Gignac, Paul Charles Jamison, Kang-Wook Lee, Sampath Purushothaman, Darryl D. Restaino, Eva Simonyi, Horatio Seymour Wildman
  • Patent number: 6784100
    Abstract: This invention provides a capacitor and a method for manufacturing of the same, which are adaptable to preventing a lower electrode from being oxidized at a following thermal process. The capacitor includes: a lower electrode; an oxidation barrier layer formed on the lower electrode, wherein the oxidation barrier layer is formed of at least double nitridation layers; a dielectric layer formed on the oxidation barrier layer; and an upper electrode formed on the dielectric layer.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: August 31, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hoon-Jung Oh, Kyong-Min Kim, Jong-Bum Park
  • Patent number: 6774462
    Abstract: Disclosed is a semiconductor device comprising a semiconductor substrate, a gate electrode, a first insulating film formed between the semiconductor substrate and the gate electrode, and a second insulating film formed along a top surface or a side surface of the gate electrode and including a lower silicon nitride film containing nitrogen, silicon and hydrogen and an upper silicon nitride film formed on the lower silicon nitride film and containing nitrogen, silicon and hydrogen, and wherein a composition ratio N/Si of nitrogen (N) to silicon (Si) in the lower silicon nitride film is higher than that in the upper silicon nitride film.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: August 10, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masayuki Tanaka, Yoshio Ozawa, Shigehiko Saida, Akira Goda, Mitsuhiro Noguchi, Yuichiro Mitani, Yoshitaka Tsunashima
  • Publication number: 20040145029
    Abstract: An antireflective coating (ARC) layer for use in the manufacture of a semiconductor device. The ARC layer has a bottom portion that has a lower percentage of silicon than a portion of the ARC layer located above it. The ARC layer is formed on a metal layer, wherein the lower percentage of silicon of the ARC layer inhibits the unwanted formation of suicides at the metal layer/ARC layer interface. In some embodiments, the top portion of the ARC layer has a lower percentage of silicon than the middle portion of the ARC layer, wherein the lower percentage of silicon at the top portion may inhibit the poisoning of a photo resist layer on the ARC layer. In one embodiment, the percentage of silicon can be increased or decreased by decreasing or increasing the ratio of the flow rate of a nitrogen containing gas with respect to the flow rate of a silicon containing gas during a deposition process.
    Type: Application
    Filed: January 29, 2003
    Publication date: July 29, 2004
    Inventors: Olubunmi O. Adetutu, Donald O. Arugu
  • Patent number: 6756635
    Abstract: A silicon oxide film with a film thickness of 5 to 7 nm is formed on a first region, a silicon oxynitride film with a film thickness of 2 to 3 nm, and a nitrogen concentration of 1 to 3 atom % is formed on a second region, and a silicon oxynitride film with a film thickness of 1 to 2 nm, and a nitrogen concentration of 3 to 5 atom % is formed on a third region on a silicon substrate. Then, radical nitriding is applied to the silicon oxide film, and the silicon oxynitride films.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: June 29, 2004
    Assignee: NEC Electronics Corporation
    Inventors: Yuri Yasuda, Naohiko Kimizuka
  • Patent number: 6744113
    Abstract: In a trench (2), an oxynitride film (31ON1) and a silicon oxide film (31O1) are positioned between a doped silicon oxide film (31D) and a substrate (1), and a silicon oxide film (31O2) is positioned closer to the entrance of the trench (2) than the doped silicon oxide film (31D). The oxynitride film (31ON1) is formed by a nitridation process utilizing the silicon oxide film (31O1). The vicinity of the entrance of the trench (2) is occupied by the silicon oxide films (31O1, 31O2) and the oxynitride film (31ON1).
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: June 1, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Takashi Kuroi, Tomohiro Yamashita, Katsuyuki Horita
  • Publication number: 20040099927
    Abstract: A method for fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the anti-reflective coating is to be deposited. The anti-reflective coating may include silicon, oxygen and nitrogen, and is preferably of the general formula SixOyNz, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device comprising a silicon nitride layer over the anti-reflective coating including at most about 1¼ in-film particles per square millimeter of surface area particles or surface roughness features in the silicon nitride of about 120-150 nanometers. Accordingly, a mask that is subsequently formed over the silicon nitride layer has a substantially uniform thickness and is substantially distortion-free.
    Type: Application
    Filed: November 17, 2003
    Publication date: May 27, 2004
    Inventor: Zhiping Yin
  • Patent number: 6730992
    Abstract: To a provide a method of forming a layered film of a silicon nitride film and a silicon oxide film on a glass substrate in a short time without requiring a plurality of film deposition chambers. In a thin film transistor, a layered film including a silicon nitride oxide film (12) is formed between a semiconductor layer (13) and a substrate (11) using the same chamber. The silicon nitride oxide film has a continuously changing composition ration of nitrogen or oxygen. An electric characteristic of the TFT is thus improved.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: May 4, 2004
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Mitsunori Sakama, Noriko Ishimaru, Masahiko Miwa, Mitinori Iwai
  • Patent number: 6727569
    Abstract: A structure and an improved isolation trench between active regions within the semiconductor substrate involves forming on a silicon substrate and forming a nitride layer on the pad layer. Thereafter, a photoresist layer is patterned on the silicon nitride layer such that regions of the nitride layer are exposed where an isolation trench will subsequently be formed. Next, the exposed regions of the nitride layer and the pad layer situated below the exposed regions of the nitride layer are etched away to expose regions of the silicon substrate. Subsequently, isolation trenches are etched into the silicon substrate with a dry etch process. A trench liner is then formed and nitrogen incorporated into a portion of the trench liner to form an oxynitride layer. After formation of the oxynitride layer, the trench is filled with a dielectric preferably comprised of a CVD oxide. Thereafter, the CVD fill dielectric is planarized and the nitride layer is stripped away.
    Type: Grant
    Filed: April 21, 1998
    Date of Patent: April 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer, Robert Paiz
  • Patent number: 6723641
    Abstract: After forming a phosphor-doped amorphous silicon film and before forming a bottom silicon oxide film, a heat treatment is performed while exhausting a gas from the vicinity of the silicon substrate. The heat treatment is performed at a temperature equal to or higher than that for forming the bottom silicon oxide film and at a pressure equal to or lower than that for forming the bottom silicon oxide film. Alternatively, after forming the phosphor-doped amorphous silicon film and before forming the bottom silicon oxide film, a TEOS oxide film and a phosphor-doped amorphous silicon film deposited on the back surface of the silicon substrate are removed. Further alternatively, these films deposited on the back surface of the silicon substrate are covered with a film which prevents gas desorption under the film formation condition for the bottom silicon oxide film.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: April 20, 2004
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kojiro Yuzuriha
  • Patent number: 6709983
    Abstract: The invention includes a semiconductor processing method in which a semiconductor substrate is exposed to reactive ion etching conditions. The reactive ion etching conditions comprise subjecting exposed surfaces of the substrate to a gas having components therein which are reactive with the exposed surfaces. A total concentration of the reactive components within the gas is less than 4.5%, by volume. In particular aspects, the total concentration of the reactive components can be less than 2% by volume, or less than 1% by volume. Exemplary reactive components are fluorine-containing components, such as NF3.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: March 23, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Satish Bedge
  • Patent number: 6693345
    Abstract: In one aspect, the invention includes a semiconductor processing method, comprising: a) providing a silicon nitride material having a surface; b) forming a barrier layer over the surface of the material, the barrier layer comprising silicon and nitrogen; and c) forming a photoresist over and against the barrier layer.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: February 17, 2004
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Scott Jeffrey DeBoer, Mark Fischer, J. Brett Rolfson, Annette L. Martin, Ardavan Niroomand
  • Patent number: 6670695
    Abstract: An anti-reflection layer and method of manufacture. A silicon substrate has a conductive layer formed thereon. Plasma-enhanced chemical vapor deposition is performed to form a graded silicon oxynitride layer over the conductive layer. During silicon oxynitride deposition, concentration of one of the reactive gases nitrous oxide is gradually reduced so that the graded silicon oxynitride layer is oxygen-rich near bottom but nitrogen-rich near the top.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: December 30, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Jing-Horng Gau, Shuenn-Jeng Chen
  • Patent number: 6670710
    Abstract: A semiconductor device is provided with a first insulating film, a first wiring layer formed in the first insulating film, a second insulating film formed above the first wiring layer and the first insulating film, the second insulating film including a low dielectric constant film, a second wiring layer formed in the second insulating film and coupled to the first wiring layer through a first connection section, and a third insulating film formed above the second wiring layer and the second insulating film and serving as one of an interlayer insulating film and a passivation film, and at least one of the first and third insulating films being one of a film formed mainly of SiON, a film formed mainly of SiN, and a laminated film being the films formed mainly of SiON or SiN respectively.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: December 30, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Noriaki Matsunaga
  • Patent number: 6667232
    Abstract: A method of forming a dielectric layer suitable for use as the gate dielectric layer in a MOSFET includes passivating the surface of a semiconductor substrate at a temperature less than approximately 80° C. and nitridizing the passivation layer. In particular embodiments, passivating a silicon wafer includes forming a hydroxy-silicate layer at approximately 24° C. In a further aspect of the present invention, an integrated circuit includes a plurality of insulated gate field effect transistors, wherein various ones of the plurality of transistors have gate dielectric layers of the nitridized passivation layer.
    Type: Grant
    Filed: December 8, 1998
    Date of Patent: December 23, 2003
    Assignee: Intel Corporation
    Inventors: Steven J. Keating, Robert S. Chau, Reza Arghavani, Jack T. Kavalieros, Douglas W. Barlage
  • Patent number: 6664611
    Abstract: A method for removing a dielectric anti-reflective coating (DARC) of silicon oxynitride material from a layer of insulative material which is formed over a substrate in a semiconductor device involves contacting the DARC material with a mixture of tetramethylammonium fluoride and at least one acid such as hydrofluoric acid, hydrochloric acid, nitric acid, phosphoric acid, acetic acid, citric acid, sulfuric acid, carbonic acid or ethylenediamine tetraacetic acid. Contact with the mixture is for a time period sufficient to remove substantially all of the DARC material. The mixture has a high etch rate selectivity such that the DARC coating can be removed with minimal effect on the underlying insulative layer.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: December 16, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gary Chen, Li Li
  • Patent number: 6664201
    Abstract: An anti-reflection layer and method of manufacture. A silicon substrate has a conductive layer formed thereon. Plasma-enhanced chemical vapor deposition is performed to form a graded silicon oxynitride layer over the conductive layer. During silicon oxynitride deposition, concentration of one of the reactive gases nitrous oxide is gradually reduced so that the graded silicon oxynitride layer is oxygen-rich near bottom but nitrogen-rich near the top.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: December 16, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Jing-Horng Gau, Shuenn-Jeng Chen
  • Publication number: 20030205785
    Abstract: Methods of selectively removing post-etch polymer material and dielectric antireflective coatings (DARC) without substantially etching an underlying carbon-doped low k dielectric layer, and compositions for the selective removal of a DARC layer and post-etch polymer material are provided. A composition comprising trimethylammonium fluoride is used to selectively etch a dielectric antireflective coating layer overlying a low k dielectric layer at an etch rate of the antireflective coating layer to the low k dielectric layer that is greater than the etch rate of the antireflective coating to a TEOS layer. The method and composition are useful, for example, in the formation of high aspect ratio openings in low k (carbon doped) silicon oxide dielectric layers and maintaining the integrity of the dimensions of the formed openings during a cleaning step to remove a post-etch polymer and antireflective coating.
    Type: Application
    Filed: June 16, 2003
    Publication date: November 6, 2003
    Applicant: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gary Chen
  • Patent number: 6624053
    Abstract: A interconnection structure of the damascene type is produced on a surface of a microelectronic device that includes at least one dielectric material layer for housing at least one interconnection and at least one interface layer on the dielectric material layer. The interface layer may include at least one SiCH layer and at least one SiOCH layer.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: September 23, 2003
    Assignee: STMicroelectronics S.A.
    Inventor: Gérard Passemard
  • Patent number: 6613696
    Abstract: A method of forming a composite silicon oxide layer over a semiconductor device. The composite silicon oxide layer is formed between the semiconductor device and a doped silicate glass layer. The composite silicon oxide layer comprises two silicon oxide layers, each having a different silicon/oxide composition. The oxygen-rich oxide layer or silicon dioxide layer is formed directly above the semiconductor device, and the silicon-rich oxide layer is formed above the silicon dioxide layer next to the doped silicate glass layer. Both the silicon dioxide layer and the silicon-rich oxide layer are formed in the same plasma deposition chamber.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: September 2, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Hai-Hung Wen, Yu-Chih Chuang
  • Publication number: 20030155632
    Abstract: An optical device having a high reflector tunable stress coating includes a micro-electromechanical system (MEMS) platform, a mirror disposed on the MEMS platform, and a multiple layer coating disposed on the mirror. The multiple layer coating includes a layer of silver (Ag), a layer of silicon dioxide (SiO2) deposited on the layer of Ag, a layer of intrinsic silicon (Si) deposited on the layer of SiO2, and a layer of silicon oxynitride (SiOxNy) deposited on the layer of Si. The concentration of nitrogen is increased and/or decreased to tune the stress (e.g., tensile, none, compressive).
    Type: Application
    Filed: February 19, 2002
    Publication date: August 21, 2003
    Inventor: Michael Goldstein
  • Patent number: 6605863
    Abstract: Methods of selectively removing post-etch polymer material and dielectric antireflective coatings (DARC) without substantially etching an underlying carbon-doped low k dielectric layer, and compositions for the selective removal of a DARC layer and post-etch polymer material are provided. A composition comprising trimethylammonium fluoride is used to selectively etch a dielectric antireflective coating layer overlying a low k dielectric layer at an etch rate of the antireflective coating layer to the low k dielectric layer that is greater than the etch rate of the antireflective coating to a TEOS layer. The method and composition are useful, for example, in the formation of high aspect ratio openings in low k (carbon doped) silicon oxide dielectric layers and maintaining the integrity of the dimensions of the formed openings during a cleaning step to remove a post-etch polymer and antireflective coating.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: August 12, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gary Chen
  • Patent number: 6593637
    Abstract: A method for making an SOI semiconductor device including a silicon substrate includes implanting oxide and Nitrogen into the substrate and then annealing to drive Oxygen and Nitrogen through and below the buried oxide layer. The implanted species interact with the Silicon matrix of the substrate to establish field isolation areas that extend deeper than the buried oxide layer of the SOI device, to ensure adequate component isolation.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: July 15, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Effiong Ibok
  • Patent number: 6586820
    Abstract: An improved photolithography technique is provided whereby the beneficial effects of using an anti-reflective coating may be realized while maintaining critical dimensions in each subsequent step. This improvement is realized by the treatment of the anti-reflective coating with a gaseous plasma or a solution of sulfuric acid and hydrogen peroxide. By treating the anti-reflective coating with gaseous plasma or solution of sulfuric acid and hydrogen peroxide, no “footing” results and the critical dimensions as set by the photoresist mask are preserved to provide an accurately patterned mask for subsequent steps.
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: July 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej S. Sandhu
  • Patent number: 6580155
    Abstract: The semiconductor device comprising a lower conductive layer (11) and an upper conductive layer (12) which are formed via an interlayer insulator (20) on a substrate (1), wherein the interlayer insulator (20) has a stack structure of an organic resin layer (21) formed on the lower conductive layer (11) and one or more high water-resistant insulating film (22) having a specific Si content formed on the organic resin layer (21). Even when the interlayer insulator realized by an organic resin insulating film of a low dielectric constant is used, characteristic and realizability is prevented from being deteriorated.
    Type: Grant
    Filed: February 16, 2000
    Date of Patent: June 17, 2003
    Assignee: Sony Corporation
    Inventor: Masakazu Muroyama
  • Patent number: 6576982
    Abstract: A semiconductor device includes a first metallization layer, a first diffusion barrier layer, a first etch stop layer, a dielectric layer and a via extending through the dielectric layer, the first etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization layer. The first etch stop layer is disposed over the first diffusion barrier layer, and the dielectric layer is disposed over the first etch stop layer. The via can also have rounded corners. A sidewall diffusion barrier layer can be disposed on sidewalls of the via, and the sidewall diffusion barrier layer is formed from the same material as the first diffusion barrier layer. The first etch stop layer can be formed from silicon oxynitride. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Dawn M. Hopper, Minh Van Ngo
  • Patent number: 6576557
    Abstract: The invention includes a semiconductor processing method in which a semiconductor substrate is exposed to reactive ion etching conditions. The reactive ion etching conditions comprise subjecting exposed surfaces of the substrate to a gas having components therein which are reactive with the exposed surfaces. A total concentration of the reactive components within the gas is less than 4.5%, by volume. In particular aspects, the total concentration of the reactive components can be less than 2% by volume, or less than 1% by volume. Exemplary reactive components are fluorine-containing components, such as NF3.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: June 10, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Kevin J. Torek, Satish Bedge
  • Publication number: 20030102532
    Abstract: Methods of selectively removing post-etch polymer material and dielectric antireflective coatings (DARC) without substantially etching an underlying carbon-doped low k dielectric layer, and compositions for the selective removal of a DARC layer and post-etch polymer material are provided. A composition comprising trimethylammonium fluoride is used to selectively etch a dielectric antireflective coating layer overlying a low k dielectric layer at an etch rate of the antireflective coating layer to the low k dielectric layer that is greater than the etch rate of the antireflective coating to a TEOS layer. The method and composition are useful, for example, in the formation of high aspect ratio openings in low k (carbon doped) silicon oxide dielectric layers and maintaining the integrity of the dimensions of the formed openings during a cleaning step to remove a post-etch polymer and antireflective coating.
    Type: Application
    Filed: June 24, 2002
    Publication date: June 5, 2003
    Applicant: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gary Chen
  • Patent number: 6548368
    Abstract: Provided is a method of integrating Ta2O5 into an MIS stack capacitor for a semiconductor device by forming a thin SiON layer at the Si/TaO interface using low temperature remote plasma oxidation anneal. Also provided is a method of forming an MIS stack capacitor with improved electrical performance by treating SiO2 with remote plasma nitridation or SiN layer with rapid thermal oxidation or RPO to form a SiON layer prior to Ta2O5 deposition with TAT-DMAE, TAETO or any other Ta-containing precursor.
    Type: Grant
    Filed: August 23, 2000
    Date of Patent: April 15, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Pravin Narwankar, Ravi Rajagopalan
  • Patent number: 6541843
    Abstract: An anti-reflective coating material layer is provided that has a relatively high etch rate such that it can be removed simultaneously with the cleaning of a defined opening in a relatively short period of time without affecting the critical dimensions of the opening. A method of forming such a layer includes providing a substrate assembly surface and using a gas mixture of at least a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor. The layer is formed at a temperature in the range of about 50° C. to about 600° C. Generally, the anti-reflective coating material layer deposited is SixOyNz:H, where x is in the range of about 0.39 to about 0.65, y is in the range of about 0.02 to about 0.56, z is in the range of about 0.05 to about 0.33, and where the atomic percentage of hydrogen in the inorganic anti-reflective coating material layer is in the range of about 10 atomic percent to about 40 atomic percent.
    Type: Grant
    Filed: August 24, 2001
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej Sandhu
  • Patent number: 6525384
    Abstract: Methods and apparatus for forming word line stacks comprise forming a thin nitride layer coupled between a bottom silicon layer and a conductor layer. In a further embodiment, a diffusion barrier layer is coupled between the thin nitride layer and the bottom silicon layer. The thin nitride layer is formed by annealing a silicon oxide film in a nitrogen-containing ambient.
    Type: Grant
    Filed: August 11, 1998
    Date of Patent: February 25, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Randhir P. S. Thakur, Scott DeBoer
  • Patent number: 6521945
    Abstract: A dielectric insulating composite for insulating a floating gate from a control gate in a non-volatile memory is described. A material, such as an undoped polysilicon, amorphous silicon, or amorphous polysilicon or a silicon rich nitride, is inserted in the gate structure. The oxide film that results from the oxidation of these films is relatively free from impurities. As a result, charge leakage between the floating gate and control gate is reduced.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: February 18, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Michael Nuttall, Garry A. Mercaldi