Amorphous Semiconductor Is Alloy Or Contains Material To Change Band Gap (e.g., Si X Ge 1-x , Sin Y ) Patents (Class 257/63)
  • Patent number: 7495313
    Abstract: Germanium circuit-type structures are facilitated. In one example embodiment, a multi-step growth and anneal process is implemented to grow Germanium (Ge) containing material, such as heteroepitaxial-Germanium, on a substrate including Silicon (Si) or Silicon-containing material. In certain applications, defects are generally confined near a Silicon/Germanium interface, with defect threading to an upper surface of the Germanium containing material generally being inhibited. These approaches are applicable to a variety of devices including Germanium MOS capacitors, pMOSFETs and optoelectronic devices.
    Type: Grant
    Filed: July 22, 2005
    Date of Patent: February 24, 2009
    Assignees: Board of Trustees of the Leland Stanford Junior University, Canon Kabushiki Kaisha
    Inventors: Ammar Munir Nayfeh, Chi On Chui, Krishna C. Saraswat, Takao Yonehara
  • Patent number: 7482616
    Abstract: In one embodiment, a phase-change memory device has an oxidation barrier layer to protect against memory cell contamination or oxidation and a method of manufacturing the same. In one embodiment, a semiconductor memory device comprises a molding layer overlying a semiconductor substrate. The molding layer has a protrusion portion vertically extending from a top surface thereof. The device further includes a phase-changeable material pattern adjacent the protrusion portion and a lower electrode electrically connected to the phase-changeable material pattern.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: January 27, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yoon-Jong Song, Young-Nam Hwang, Sang-Don Nam, Sung-Lae Cho, Gwan-Hyeob Koh, Choong-Man Lee, Bong-Jin Kuh, Yong-Ho Ha, Su-Youn Lee, Chang-Wook Jeong, Ji-Hye Yi, Kyung-Chang Ryoo, Se-Ho Lee, Su-Jin Ahn, Soon-Oh Park, Jang-Eun Lee
  • Patent number: 7479667
    Abstract: Provided are a semiconductor device including an active area which is defined as high and low mobility areas and a thin film transistor having the semiconductor device. The mobility of the active area can be lowered to a level enough to satisfy the requirement of the semiconductor device. The lowering of the mobility of the active area can contribute to reducing mobility deviation between semiconductor devices. As a result, the quality of a flat panel display adopting a large-scale semiconductor device can be greatly improved.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: January 20, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jang-yeon Kwon, Takashi Noguchi, Young-soo Park, Do-young Kim
  • Patent number: 7449712
    Abstract: A CMOS image sensor includes a substrate including silicon, a silicon germanium (SiGe) epitaxial layer formed over the substrate, the SiGe epitaxial layer formed through epitaxial growth and doped with a predetermined concentration level of impurities, an undoped silicon epitaxial layer formed over the SiGe epitaxial layer by epitaxial growth, and a photodiode region formed from a top surface of the undoped silicon epitaxial layer to a predetermined depth in the SiGe epitaxial layer.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: November 11, 2008
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Han-Seob Cha
  • Patent number: 7427779
    Abstract: The microstructure is designed for formation of a silicon and germanium on insulator substrate of Si1-XfGeXf type, with Xf comprised between a first value that is not zero and 1. The microstructure is formed by stacking of a silicon on insulator substrate and a first initial layer of silicon and germanium alloy of Si1-X1GeX1 type, with X1 strictly comprised between 0 and Xf. The stack also comprises a second initial layer of silicon and germanium alloy of Si1-X2GeX2 type, with X2 comprised between a first value that is not zero and 1, and an intermediate layer, preferably made of silicon oxide or silicon nitride, that is able to remain amorphous during formation of the substrate and that is intercalated between the first initial layer of Si1-X1GeX1 and the second initial layer of Si1-X2GeX2.
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: September 23, 2008
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Jean-Francois Damlencourt, Rémi Costa
  • Patent number: 7423283
    Abstract: Recesses are formed in the drain and source regions of an MOS transistor. An ohmic contact layer is formed in the recesses, and a stressed silicon-nitride layer is formed over the ohmic contact layer. The recesses allow the stressed silicon nitride layer to provide strain in the plane of the channel region. In a particular embodiment, a tensile silicon nitride layer is formed over recesses of an NMOS transistor in a CMOS cell, and a compressive silicon nitride layer is formed over recesses of a PMOS transistor in the CMOS cell. In a particular embodiment the stressed silicon nitride layer(s) is a chemical etch stop layer.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: September 9, 2008
    Assignee: XILINX, Inc.
    Inventors: Yuhao Luo, Deepak Kumar Nayak
  • Patent number: 7417248
    Abstract: A method of manufacturing a transistor and a structure thereof, wherein a very shallow region having a high dopant concentration of germanium is implanted into a channel region of a transistor at a low energy level, forming an amorphous germanium implantation region in a top surface of the workpiece, and forming a crystalline germanium implantation region beneath the amorphous germanium implantation region. The workpiece is annealed using a low-temperature anneal to convert the amorphous germanium region to a crystalline state while preventing a substantial amount of diffusion of germanium further into the workpiece, also removing damage to the workpiece caused by the implantation process. The resulting structure includes a crystalline germanium implantation region at the top surface of a channel, comprising a depth below the top surface of the workpiece of about 120 ? or less. The transistor has increased mobility and a reduced effective oxide thickness (EOT).
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: August 26, 2008
    Assignee: Infineon Technologies AG
    Inventor: Hong-Jyh Li
  • Patent number: 7361420
    Abstract: To provide a filmy structure of a nanometer size having a phase-separated structure effective for the case where a compound can be formed between two kinds of materials. A structure constituted by a first member containing a compound between an element A except both Si and Ge and SinGe1-n (where 0?n?1) and a second member containing one of the element A and SinGe1-n (where 0?n?1), in which one of the first member and the second member is a columnar member, formed on a substrate, whose side face is surrounded by the other member, the ratio Dl/Ds of an average diameter Dl in the major axis direction to an average diameter Ds in the minor axis direction of a transverse sectional shape of the columnar member is less than 5, and the element A is one of Li, Na, Mg, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Rb, Sr, Y, Zr, Nb, Mo, Ru, Rh, Pd, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Ce, Pr, Nd, Sm, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and B.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: April 22, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuhiro Yasui, Ryoko Horie, Toru Den
  • Patent number: 7348598
    Abstract: A TFT, in which source and drain electrodes having concentric circular shapes are formed, reduces an OFF current caused by a leakage current and optimizes an ON current and a stray capacitance between gate and source electrodes. The TFT includes a gate electrode formed on a substrate; and source and drain electrodes obtained by sequentially forming a gate insulating film, an intrinsic amorphous silicon layer, and an n+ amorphous silicon layer on the gate electrode, wherein the source and drain electrodes have circular shapes. One of the source and drain electrodes is disposed at the center, and the other one of the source and drain electrodes having a concentric circular shape surrounds the former. A channel region may be formed between the source and drain electrodes; and an area of an effective stray capacitance may be less than 150 ?m2. A ratio of a width of a channel to a length of the channel may be more than 4.5 and a filling capacity index to the effective stray capacitance may be less than 50.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: March 25, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: Yasuhisa Oana
  • Patent number: 7341883
    Abstract: A silicon germanium (SiGe) semiconductive alloy is grown on a substrate of single crystalline Al2O3. A {111} crystal plane of a cubic diamond structure SiGe is grown on the substrate's {0001} C-plane such that a <110> orientation of the cubic diamond structure SiGe is aligned with a <1,0,?1,0> orientation of the {0001} C-plane. A lattice match between the substrate and the SiGe is achieved by using a SiGe composition that is 0.7223 atomic percent silicon and 0.2777 atomic percent germanium.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: March 11, 2008
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Yeonjoon Park, Sang H. Choi, Glen C. King
  • Patent number: 7339188
    Abstract: The present invention is related to a polycrystalline silicon film containing Ni which is formed by crystallizing an amorphous silicon layer containing nickel. The present invention includes a polycrystalline silicon film wherein the polycrystalline film contains Ni atoms of which density ranges from 2×1017 to 5×1019 atoms/cm3 on average and comprises a plurality of needle-shaped silicon crystallites. In another aspect, the present invention includes a polycrystalline silicon film wherein the polycrystalline film contains Ni atoms of which density ranges from 2×1017 to 5×1019 atoms/cm3, comprises a plurality of needle-shaped silicon crystallites and is formed on an insulating substrate. Such a polysilicon film according to the present invention avoids metal contamination usually generated in a conventional method of metal induced crystallization.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: March 4, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Jin Jang, Seong-Jin Park
  • Patent number: 7303949
    Abstract: A semiconductor device and method of manufacturing a semiconductor device. The semiconductor device includes channels for a pFET and an nFET. A SiGe layer is selectively grown in the source and drain regions of the pFET channel and a Si:C layer is selectively grown in source and drain regions of the nFET channel. The SiGe and Si:C layer match a lattice network of the underlying Si layer to create a stress component. In one implementation, this causes a compressive component in the pFET channel and a tensile component in the nFET channel.
    Type: Grant
    Filed: October 20, 2003
    Date of Patent: December 4, 2007
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Dureseti Chidambarrao, Omer H Dokumaci
  • Patent number: 7297977
    Abstract: One exemplary embodiment includes a semiconductor device. The semiconductor device comprising a channel including one or more of a metal oxide including zinc-gallium, cadmium-gallium, cadmium-indium.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: November 20, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Randy L. Hoffman, Gregory S. Herman, Peter P. Mardilovich
  • Patent number: 7274055
    Abstract: An embodiment of the invention reduces the external resistance of a transistor by utilizing a silicon germanium alloy for the source and drain regions and a nickel silicon germanium self-aligned silicide (i.e., salicide) layer to form the contact surface of the source and drain regions. The interface of the silicon germanium and the nickel silicon germanium silicide has a lower specific contact resistivity based on a decreased metal-semiconductor work function between the silicon germanium and the silicide and the increased carrier mobility in silicon germanium versus silicon. The silicon germanium may be doped to further tune its electrical properties. A reduction of the external resistance of a transistor equates to increased transistor performance both in switching speed and power consumption.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: September 25, 2007
    Assignee: Intel Corporation
    Inventors: Anand Murthy, Boyan Boyanov, Glenn A. Glass, Thomas Hoffmann
  • Patent number: 7262428
    Abstract: A process of making a strained silicon-on-insulator structure is disclosed. A recess is formed in a substrate to laterally isolate an active area. An undercutting etch forms a bubble recess under the active area to partially vertically isolate the active area. A thermal oxidation completes the vertical isolation by use of a minifield oxidation process. The recess is filled to form a shallow trench isolation structure. An active device is also disclosed that is achieved by the process. A system is also disclosed that uses the active device.
    Type: Grant
    Filed: November 3, 2004
    Date of Patent: August 28, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7259387
    Abstract: A nonvolatile memory element is formed by layering a lower electrode, a variable resistor and an upper electrode in sequence. The variable resistor is formed in which crystallinity and amorphism are mixed. Thus, the nonvolatile memory element is formed. More preferably, the variable resistor is a praseodymium-calcium-manganese oxide represented by a general formula, Pr1-xCaxMnO3, that has been formed at a film forming temperature from 350° C. to 500° C. Alternatively, the variable resistor is formed as a film at a film forming temperature that allows the variable resistor to become of an amorphous state or a state where crystallinity and amorphism are mixed and, then, is subjected to an annealing process at a temperature higher than the film forming temperature, in a temperature range where the variable resistor can maintain the state where crystallinity and amorphism are mixed.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: August 21, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hidechika Kawazoe, Yukio Tamai, Atsushi Shimaoka, Naoto Hagiwara, Hidetoshi Masuda, Toshimasa Suzuki
  • Patent number: 7259427
    Abstract: The present invention relates to a semiconductor device including a circuit composed of thin film transistors having a novel GOLD (Gate-Overlapped LDD (Lightly Doped Drain)) structure. The thin film transistor comprises a first gate electrode and a second electrode being in contact with the first gate electrode and a gate insulating film. Further, the LDD is formed by using the first gate electrode as a mask, and source and drain regions are formed by using the second gate electrode as the mask. Then, the LDD overlapping with the second gate electrode is formed. This structure provides the thin film transistor with high reliability.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: August 21, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hiroki Adachi
  • Patent number: 7211458
    Abstract: A method of fabricating a semiconductor device includes forming a strained first semiconductor layer on an insulating layer that is between second semiconductor layers. The strained first semiconductor layer may be epitaxially grown from the second semiconductor layers to extend onto the insulating layer between the second semiconductor layers. The second semiconductor layers have a lattice constant that is different than that of the first semiconductor layer, such that strain may be created in the first semiconductor layer. Related devices are also discussed.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: May 1, 2007
    Assignee: North Carolina State University
    Inventors: Mehmet Ozturk, Veena Misra, Saurabh Chopra
  • Patent number: 7205586
    Abstract: A HDTMOS includes a Si substrate, a buried oxide film and a semiconductor layer. The semiconductor layer includes an upper Si film, an epitaxially grown Si buffer layer, an epitaxially grown SiGe film, and an epitaxially grown Si film. Furthermore, the HDTMOS includes an n-type high concentration Si body region, an n? Si region, a SiGe channel region containing n-type low concentration impurities, an n-type low concentration Si cap layer, and a contact which is a conductor member for electrically connecting the gate electrode and the Si body region. The present invention extends the operation range while keeping the threshold voltage small by using, for the channel layer, a material having a smaller potential at the band edge where carriers travel than that of a material constituting the body region.
    Type: Grant
    Filed: May 24, 2004
    Date of Patent: April 17, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takeshi Takagi, Akira Inoue
  • Patent number: 7196400
    Abstract: An object is to enhance the orientation ratio of a crystalline semiconductor film obtained by crystallizing an amorphous semiconductor film while using as a substrate a less-heat-resistive material such as glass thereby providing a semiconductor device using a crystalline semiconductor film with high quality equivalent to a single crystal. A first crystalline semiconductor film and a second crystalline semiconductor film are formed overlying a substrate, which integrally structure a crystalline semiconductor layer. The first and second crystalline semiconductor films are polycrystalline bodies aggregated with a plurality of crystal grains. However, the crystal grains are aligned toward a (101)-plane orientation at a ratio of 30 percent or greater, preferably 80 percent or greater.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: March 27, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Mitsuki, Kenji Kasahara
  • Patent number: 7176481
    Abstract: Disclosed is an integrated circuit structure and a method of making such a structure that has a substrate and P-type and N-type transistors on the substrate. The N-type transistor extension and source/drain regions comprise dopants implanted into the substrate. The P-type transistor extension and source/drain regions partially include a strained epitaxial silicon germanium, wherein the strained silicon germanium comprises of two layers, with a top layer that is closer to the gate stack than the bottom layer. The strained silicon germanium is in-situ doped and creates longitudinal stress on the channel region.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: February 13, 2007
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Dureseti Chidambarrao, Siddhartha Panda, Sang-Hyun Oh, Henry K. Utomo, Werner A. Rausch
  • Patent number: 7176504
    Abstract: A semiconductor device is provided. The semiconductor device comprises a substrate, a gate structure, a spacer, a SixGey layer and a SixGey protection layer. The gate structure is deposited on the substrate and the spacer is deposited on the sidewalls of the gate structure. The SixGey layer is deposited in the substrate on both sides of the spacer and extended to a portion beneath part of the spacer. In addition, the top level of the SixGey layer is higher than the surface of the substrate. Moreover, the SixGey protection layer is deposited on the SixGey layer and the SixGey protection layer comprises Six1Gey1, where 0?y1<y.
    Type: Grant
    Filed: September 28, 2005
    Date of Patent: February 13, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Huan-Shun Lin, Hung-Lin Shih, Hsiang-Ying Wang, Jih-Shun Chiang, Min-Chi Fan
  • Patent number: 7157349
    Abstract: A method of manufacturing a semiconductor device comprising a silicon body (1) having a surface (4) provided with field isolation regions (2) enclosing active regions (3). In this method, on the surface of the silicon body there is formed an auxiliary layer (5) of a material on which, during an oxidation treatment, a thicker layer of silicon oxide is formed than on the silicon of the silicon body. Here, an auxiliary layer comprising silicon and germanium is formed on the surface, said auxiliary layer preferably being a layer of SixGe1?x?yCy, where 0.70<x<0.95 and y<0.05. Next, at the location of the field isolation regions to be formed, windows (9) are formed in the auxiliary layer and trenches (11) are formed in the silicon body. Next, on the walls (12) of the trenches, a silicon oxide layer (13) is provided and on the walls (10) of the windows a silicon oxide layer (14) is provided, both being formed by an oxidation treatment. The auxiliary layer is not oxidized throughout its thickness.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: January 2, 2007
    Assignee: NXP B.V.
    Inventors: Jurriaan Schmitz, Claire Ravit, Rita Victoire Theodosie Rooyackers
  • Patent number: 7145175
    Abstract: According to the invention, a plurality of semiconductor devices which are required to have conformance are formed from crystalline semiconductor films having uniform crystallinity on the same line, and a semiconductor circuit in which variation between semiconductor devices is small can be provided, and a semiconductor integrated circuit having high conformance can be provided. The invention is characterized in that, in a part or whole of thin film transistors which configure an analog circuit such as a current mirror circuit, a differential amplifier circuit, or an operational amplifier, in which high conformance is required for semiconductor devices included therein, channel forming regions have crystalline semiconductor films on the same line. High conformance can be expected for an analog circuit which has the crystalline semiconductor films on the same line formed using the invention as the channel forming regions of the thin film transistors.
    Type: Grant
    Filed: September 7, 2004
    Date of Patent: December 5, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kiyoshi Kato, Tomoaki Atsumi, Atsuo Isobe
  • Patent number: 7119369
    Abstract: A field-effect transistor has a channel region in a bulk semiconductor substrate, a first source/drain region on a first side of the channel region, a second source/drain region on a second side of the channel region, and an extension of epitaxial monocrystalline material formed on the bulk semiconductor substrate so as to extend away from each side of the channel region.
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: October 10, 2006
    Assignee: Micro Technology, Inc.
    Inventors: Zhongze Wang, Chih-Chen Cho, Er-Xuan Ping
  • Patent number: 7112836
    Abstract: A horizontal electrode having a small cross-section makes electrical contact with a chalcogenide memory element. The dimensions of the cross-section are controlled by conventional deposit/etch semiconductor processing steps. The resulting memory element can be driven by a CMOS steering element.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: September 26, 2006
    Assignee: Macronix International Co., Ltd.
    Inventor: Yi Chou Chen
  • Patent number: 7081387
    Abstract: A multi-mesa FET structure with doped sidewalls for source/drain regions and methods for forming the same are disclosed. The exposure of the source and drain sidewalls during the manufacture enables uniform doping of the entire sidewalls especially when geometry-independent doping methods, such as gas phase doping or plasma doping, is used. The resulting device has depth independent and precisely controlled threshold voltage and current density and can have very high current per unit area of silicon as the mesas can be very high compared with mesas that could be formed in prior arts. Methods of providing multi-mesa FET structures are provided which employ either a damascene gate process or a damascene replacement gate process instead of conventional subtractive etching methods.
    Type: Grant
    Filed: August 16, 2004
    Date of Patent: July 25, 2006
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Jack A. Mandelman, Byeongju Park
  • Patent number: 7078345
    Abstract: There is disclosed a method of manufacturing a semiconductor device comprising forming a diffusion region containing arsenic impurity at a concentration of 1×1020 cm?3 or more in an element region of Si substrate which is isolated by an element isolating insulation film with a gate electrode being employed as a mask, depositing Ni metal all over the substrate, heat-treating the substrate at a temperature of less than 400° C., thereby forming a nickel silicide film containing Ni2Si on the diffusion region, removing unreacted Ni metal deposited on the element isolating insulation film, heat-treating the substrate at a temperature of 450° C. or more, thereby forming an NiSi film having a arsenic compound layer on the surface thereof, removing the arsenic compound layer by an alkaline liquid, depositing an interlayer insulating film the entire surface of the substrate, and forming a wiring layer piercing through the interlayer insulating film.
    Type: Grant
    Filed: December 3, 2003
    Date of Patent: July 18, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Toshihiko Iinuma
  • Patent number: 7023018
    Abstract: The present invention provides, in one embodiment, a P-type Metal Oxide Semiconductor (PMOS) device (100). The device (100) comprises a tensile-strained silicon layer (105) located on a silicon-germanium substrate (110) and silicon-germanium source/drain structures (135, 140) located on or in the tensile-strained silicon layer (105). The PMOS device (100) further includes a channel region (130) located between the silicon-germanium source/drain structures (135, 140) and within the tensile-strained silicon layer (105). The channel region (130) has a compressive stress (145) in a direction parallel to an intended current flow (125) through the channel region (130). Other embodiments of the present invention include a method of manufacturing the PMOS device (200) and a MOS device (300).
    Type: Grant
    Filed: April 6, 2004
    Date of Patent: April 4, 2006
    Assignee: Texas Instruments Incorporated
    Inventor: Dennis Darcy Buss
  • Patent number: 7015507
    Abstract: Provided is a non-single-crystal germanium thin film transistor having a gate insulating film capable of reducing the interface state density between an active layer and the gate insulating film. This thin film transistor has an active layer made of a non-single-crystal germanium film, and a gate oxide film substantially made of zirconium oxide or hafnium oxide.
    Type: Grant
    Filed: May 28, 2004
    Date of Patent: March 21, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takao Yonehara, Tetsuya Shimada
  • Patent number: 7009200
    Abstract: A field effect transistor comprises a source and a drain, and a channel layer of Si1-x-yGexCy crystal (1>x>0, 1>y?0). Ge composition increases toward a drain end, in a vicinity of a source end of the channel layer.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: March 7, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsutomu Tezuka, Shinichi Takagi, Tomohisa Mizuno
  • Patent number: 7009208
    Abstract: A memory device able to be produced without requiring high precision alignment, a method of production of the same, and a method of use of a memory device produced in this way, wherein a peripheral circuit portion (first semiconductor portion) formed by a first minimum processing dimension is formed on a substrate, a memory portion (second semiconductor portion) formed by a second minimum processing dimension smaller than the first minimum processing dimension is stacked above it, and the memory portion (second semiconductor portion) is stacked with respect to the peripheral circuit portion (first semiconductor portion) with an alignment precision rougher than the second minimum processing dimension or wherein memory cells configured by 2-terminal devices are formed in regions where word lines and bit lines intersect in the memory portion, and contact portions connecting the word lines and bit lines and the peripheral circuit portions are arranged in at least two columns in directions in which the word lines
    Type: Grant
    Filed: April 10, 2003
    Date of Patent: March 7, 2006
    Assignee: Sony Corporation
    Inventors: Katsuhisa Aratani, Minoru Ishida, Akira Kouchiyama
  • Patent number: 7005676
    Abstract: There is here disclosed a semiconductor device manufacturing method comprising a step of forming an island region including a monocrystalline Si1-x-yGexCy layer (1>x>0, 1>y?0) and a peripheral region including an amorphous or polycrystalline Si1-x-yGexCy layer which surrounds the island region on a monocrystalline Si layer on an insulating film, a step of subjecting the respective Si1-x-yGexCy layers to heat treatment, and after the heat treatment and the removal of a surface oxide film, a step of forming a monocrystalline Si1-z-wGezCw layer (1>z?0, 1>w?0) which becomes an element formation region on the island region.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: February 28, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsutomu Tezuka, Shinichi Takagi
  • Patent number: 6969870
    Abstract: A silicon germanium layer is deposited over a semiconductor substrate with a gate insulating film interposed between the substrate and the silicon germanium layer. Then, an upper silicon layer in an amorphous state is deposited on the silicon germanium layer. Thereafter, a gate electrode is formed by patterning the silicon germanium layer and the upper silicon layer.
    Type: Grant
    Filed: February 3, 2004
    Date of Patent: November 29, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroko Kubo, Kenji Yoneda
  • Patent number: 6969878
    Abstract: A semiconductor device is provided that includes a semiconductor channel region extending above a semiconductor substrate in a longitudinal direction between a semiconductor source region and a semiconductor drain region, and a gate region extending in the transverse direction, coating the channel region, and insulated from the channel region. The source, channel, and drain regions are formed in a continuous semiconductor layer that is approximately plane and parallel to the upper surface of the substrate. Additionally, the source, drain, and gate regions are coated in an insulating coating so as to provide electrical insulation between the gate region and the source and drain regions, and between the substrate and the source, drain, gate, and channel regions. Also provided is an integrated circuit that includes such a semiconductor device, and a method for manufacturing such a semiconductor device.
    Type: Grant
    Filed: April 8, 2003
    Date of Patent: November 29, 2005
    Assignee: STMicroelectronics S.A.
    Inventors: Philippe Coronel, Stephane Monfray, Thomas Skotnicki
  • Patent number: 6951802
    Abstract: A spin addition method for catalyst elements is simple and very important technique, because the minimum amount of a catalyst element necessary for crystallization can be easily added by controlling the catalyst element concentration within a catalyst element solution, but there is a problem in that uniformity in the amount of added catalyst element within a substrate is poor. The non-uniformity in the amount of added catalyst element within the substrate is thought to influence fluctuation in crystallinity of a crystalline semiconductor film that has undergone thermal crystallization, and exert a bad influence on the electrical characteristics of TFTs finally structured by the crystalline semiconductor film. The present invention solves this problem with the aforementioned conventional technique.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: October 4, 2005
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Misako Nakazawa, Toshiji Hamatani, Naoki Makita
  • Patent number: 6936875
    Abstract: With the invention, it is possible to avoid deterioration in short-channel characteristics, caused by a silicon germanium layer coming into contact with the channel of a strained SOI transistor. Further, it is possible to fabricate a double-gate type of strained SOI transistor or to implement mixedly mounting the strained SOI transistor and a conventional silicon or SOI transistor on the same wafer. According to the invention, for example, a strained silicon layer is grown on a strain-relaxed silicon germanium layer, and subsequently, portions of the silicon germanium layer are removed, thereby constituting a channel layer in the strained silicon layer.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: August 30, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Nobuyuki Sugii, Kazuhiro Ohnishi, Katsuyoshi Washio
  • Patent number: 6930326
    Abstract: According to the invention, a plurality of semiconductor devices which are required to have conformance are formed from crystalline semiconductor films having uniform crystallinity on the same line, and a semiconductor circuit in which variation between semiconductor devices is small can be provided, and a semiconductor integrated circuit having high conformance can be provided. The invention is characterized in that, in a part or whole of thin film transistors which configure an analog circuit such as a current mirror circuit, a differential amplifier circuit, or an operational amplifier, in which high conformance is required for semiconductor devices included therein, channel forming regions have crystalline semiconductor films on the same line. High conformance can be expected for an analog circuit which has the crystalline semiconductor films on the same line formed using the invention as the channel forming regions of the thin film transistors.
    Type: Grant
    Filed: March 25, 2003
    Date of Patent: August 16, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Kiyoshi Kato, Tomoaki Atsumi, Atsuo Isobe
  • Patent number: 6927417
    Abstract: In a back-surface electrode type photoelectric conversion element having electrodes and semiconductor layers for collecting carriers disposed only on a back surface side of a semiconductor substrate, a semiconductor thin film that is larger in band gap than the semiconductor substrate and that contains an element causing a conductivity identical to or different from a conductivity of the semiconductor substrate is provided on a light-receiving surface side of the semiconductor substrate, and a diffusion layer is formed on a surface of the semiconductor substrate.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: August 9, 2005
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Tomonori Nagashima, Kenichi Okumura
  • Patent number: 6924216
    Abstract: A method of forming the active regions of field effect transistors is proposed. According to the proposed method, shallow implanting profiles for both the halo structures and the source and drain regions can be obtained by carrying out a two-step damaging and amorphizing implantation process. During a first step, the substrate is damaged during a first light ion implantation step and subsequently substantially fully amorphized during a second heavy ion implantation step.
    Type: Grant
    Filed: May 19, 2003
    Date of Patent: August 2, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas Feudel, Manfred Horstmann, Rolf Stephan
  • Patent number: 6921914
    Abstract: A process for producing monocrystalline semiconductor layers. In an exemplary embodiment, a graded Si1-xGex (x increases from 0 to y) is deposited on a first silicon substrate, followed by deposition of a relaxed Si1-yGey layer, a thin strained Si1-zGez layer and another relaxed Si1-yGey layer. Hydrogen ions are then introduced into the strained SizGez layer. The relaxed Si1-yGey layer is bonded to a second oxidized substrate. An annealing treatment splits the bonded pair at the strained Si layer, such that the second relaxed Si1-yGey layer remains on the second substrate. In another exemplary embodiment, a graded Si1-xGex is deposited on a first silicon substrate, where the Ge concentration x is increased from 0 to 1. Then a relaxed GaAs layer is deposited on the relaxed Ge buffer. As the lattice constant of GaAs is close to that of Ge, GaAs has high quality with limited dislocation defects. Hydrogen ions are introduced into the relaxed GaAs layer at the selected depth.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: July 26, 2005
    Assignee: Massachusetts Institute of Technology
    Inventors: Zhi-Yuan Cheng, Eugene A. Fitzgerald, Dimitri A. Antoniadis, Judy L. Hoyt
  • Patent number: 6885028
    Abstract: A transistor array includes conductor lines, function lines, and transistors. Each of the conductor lines includes a core and a conductor layer that covers the core. Each of the function lines includes a core, at least the surface of which is electrically conductive, an insulating layer that covers the core, and a semiconductor layer that covers the insulating layer. Each of the function lines contacts with, and crosses, the conductor lines. Each of the transistors includes a first ohmic contact region, which is defined by a region where one of the conductor lines crosses one of the function lines and which makes an ohmic contact with the semiconductor layer, a second ohmic contact region, which also makes an ohmic contact with the semiconductor layer, and a channel region, which is defined in the semiconductor layer between the first and second ohmic contact regions.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: April 26, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hirohiko Nishiki, Kazuki Kobayashi
  • Patent number: 6872972
    Abstract: Roughly described, a silicon layer transitions from polysilicon at one surface to amorphous silicon at the opposite surface. The transition can be monotonic, and can be either continuous or it can change abruptly from polysilicon to amorphous silicon. If such a layer is formed as the floating gate of a floating gate transistor structure, the larger grain structure adjacent to the tunnel dielectric layer reduces the formation of a tip (protrusion) and thus reduces leakage. On the other hand, the smaller grain structure adjacent to the gate dielectric layer produces a smooth, more uniform gate dielectric layer. The polysilicon-to-amorphous silicon transistor can be fabricated with a temperature profile that favors polysilicon formation at the start of floating gate deposition, and transitions during deposition to a temperature that favors amorphous silicon deposition at the end of floating gate deposition.
    Type: Grant
    Filed: July 16, 2003
    Date of Patent: March 29, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Chih Yuan Huang, Jonason Chen
  • Patent number: 6872638
    Abstract: A method of performing irradiation of laser light is given as a method of crystallizing a semiconductor film. However, if laser light is irradiated to a semiconductor film, the semiconductor film is instantaneously melted and expands locally. The temperature gradient between a substrate and the semiconductor film is precipitous, distortions may develop in the semiconductor film. Thus, the film quality of the crystalline semiconductor film obtained will drop in some cases. With the present invention, distortions of the semiconductor film are reduced by heating the semiconductor film using a heat treatment process after performing crystallization of the semiconductor film using laser light. Compared to the localized heating due to the irradiation of laser light, the heat treatment process is performed over the entire substrate and semiconductor film. Therefore, it is possible to reduce distortions formed in the semiconductor film and to increase the physical properties of the semiconductor film.
    Type: Grant
    Filed: February 20, 2002
    Date of Patent: March 29, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Toru Mitsuki, Tamae Takano
  • Patent number: 6856002
    Abstract: The present invention provides a design for a PCRAM element which incorporates multiple metal-containing germanium-selenide glass layers of diverse stoichiometries. The present invention also provides a method of fabricating the disclosed PCRAM structure.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: February 15, 2005
    Assignee: Micron Technology, Inc.
    Inventors: John T. Moore, Terry L. Gilton, Kristy A. Campbell
  • Patent number: 6855992
    Abstract: A semiconductor structure includes a monocrystalline silicon substrate, an amorphous oxide material overlying the monocrystalline silicon substrate, a monocrystalline perovskite oxide material overlying the amorphous oxide material, and a monocrystalline compound semiconductor material overlying the monocrystalline perovskite oxide material. A composite transistor includes a first transistor having first active regions formed in the monocrystalline silicon substrate, a second transistor having second active regions formed in the monocrystalline compound semiconductor material, and a mode control terminal for controlling the first transistor and the second transistor.
    Type: Grant
    Filed: July 24, 2001
    Date of Patent: February 15, 2005
    Assignee: Motorola Inc.
    Inventors: Rudy M. Emrick, Bruce Allen Bosco, John E. Holmes, Steven James Franson, Stephen Kent Rockwell
  • Patent number: 6852602
    Abstract: A multi-layer film 10 is formed by stacking a Si1-x1-y1Gex1Cy1 layer (0?x1<1 and 0<y1<1) having a small Ge mole fraction, e.g., a Si0.785Ge0.2C0.015 layer 13, and a Si1-x2-y2Gex2Cy2 layer (0<x2?1 and 0?y2<1) (where x1<x2 and y1>y2) having a high Ge mole fraction, e.g., a Si0.2Ge0.8 layer 12. In this manner, the range in which the multi-layer film serves as a SiGeC layer with C atoms incorporated into lattice sites extends to high degrees in which a Ge mole fraction is high.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: February 8, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yoshihiko Kanzawa, Tohru Saitoh, Katsuya Nozawa, Minoru Kubo, Yoshihiro Hara, Takeshi Takagi, Takahiro Kawashima
  • Patent number: 6847093
    Abstract: A semiconductor integrated circuit device is formed by a semiconductor substrate having an SiGe layer and a first Si layer epitaxially grown thereover, and on which there are element formation regions each partitioned by element isolation regions; a shallow groove isolation, which has a groove formed in each of the element isolation regions and an insulating film inside of the groove, said groove penetrating through the first Si layer and having a bottom in the SiGe layer; a second Si layer formed between the shallow groove isolation and the SiGe layer; and a semiconductor element formed over the main surface of the semiconductor substrate in the element formation regions. This construction enables a reduction in leakage current via the walls of the shallow groove isolation of the strained substrate, thereby improving the element isolation properties.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: January 25, 2005
    Assignee: Renesas Tehnology Corp.
    Inventors: Katsuhiko Ichinose, Fumio Ootsuka
  • Patent number: 6838695
    Abstract: A semiconductor device structure includes a substrate, a dielectric layer disposed on the substrate, first and second stacks disposed on the dielectric layer. The first stack includes a first silicon layer disposed on the dielectric layer, a silicon germanium layer disposed on the first silicon layer, a second silicon layer disposed on the silicon germanium layer, and a third silicon layer disposed on the second silicon layer. The second stack includes a first silicon layer disposed on the dielectric layer, and a second silicon layer disposed on the first silicon layer. Alternatively, the silicon germanium layer includes Boron.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: January 4, 2005
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Ashima B. Chakravarti, Kevin K. Chan, Daniel A. Uriarte
  • Publication number: 20040245577
    Abstract: The invention includes SRAM constructions comprising at least one transistor device having an active region extending into a crystalline layer comprising Si/Ge. A majority of the active region within the crystalline layer is within a single crystal of the crystalline layer, and in particular aspects an entirety of the active region within the crystalline layer is within a single crystal of the crystalline layer. The SRAM constructions can be formed in semiconductor on insulator assemblies, and such assemblies can be supported by a diverse range of substrates, including, for example, glass, semiconductor substrates, metal, insulative materials, and plastics. The invention also includes electronic systems comprising SRAM constructions.
    Type: Application
    Filed: June 3, 2003
    Publication date: December 9, 2004
    Inventor: Arup Bhattacharyya