Insulating Layer Of Silicon Nitride Or Silicon Oxynitride Patents (Class 257/649)
  • Patent number: 5798562
    Abstract: The invention relates to a semiconductor device with a substrate, with at least one isolation layer with at least one window, with a passivation layer scheme lying on the isolation layer and a metallization lying on the passivation layer scheme, the latter comprising at least two dielectric layers of which the first dielectric layer covers the isolation layer with its edges as well as the substrate in an outer edge zone of the window, and of which the second dielectric layer covers the first dielectric layer also over the edge of the isolation layer and in a portion of the outer region of the window.
    Type: Grant
    Filed: October 28, 1996
    Date of Patent: August 25, 1998
    Assignee: U.S. Philips Corporation
    Inventors: Johannes Rabovsky, Bernd Sievers
  • Patent number: 5796151
    Abstract: In an integrated circuit, gate electrode stack of which is subjected to self-alignment processes, the sheet resistance is lowered by including a tungsten layer 15. The tungsten layer 14 is protected by a sidewall material 21 of SiN.sub.x or SiO.sub.2 after an etching step which did not extend to the substrate 11. During a subsequent etching step in which the stack extends to the substrate 11, the sidewall material 31 acts as a hard mask protecting the upper portion of the stack. After the lower portion of the stack is protected by a re-oxidation layer 41, the entire stack can be processed further without deterioration of the sheet resistance of the tungsten layer 15.
    Type: Grant
    Filed: December 19, 1996
    Date of Patent: August 18, 1998
    Assignee: Texas Instruments Incorporated
    Inventors: Wei-Yung Hsu, Dirk N. Anderson, Robert Kraft
  • Patent number: 5793114
    Abstract: A method and structure for self-aligned zero-margin contacts to active and poly-1, using silicon nitride (or another dielectric material with low reflectivity and etch selectivity to oxide) for an etch stop layer and also for sidewall spacers on the gate.
    Type: Grant
    Filed: April 24, 1996
    Date of Patent: August 11, 1998
    Assignee: SGS-Thomson Microelectronics, Inc.
    Inventors: Loi N. Nguyen, Robert Louis Hodges
  • Patent number: 5789793
    Abstract: A method for fabricating a semiconductor device comprising fabricating a sacrificial wafer having a substrate wafer which includes a diffused layer and one or two epi layers. The sacrificial wafer is fusion bonded to a separately fabricated carrier/handle wafer having a layer of oxide on its surface, to form a composite wafer. Selective regions of the composite wafer are anodized and oxidized to form a plurality of wells separated from each other by a dielectric insulating layer. Next, N- epi regions above P+ epi regions are removed or alternatively, P+ diffused layers are removed from above an N- epi layer in selected regions. Finally, P- or N- single crystal silicon is grown back to the removed regions, depending on how the regions were removed. If N- single crystal is grown back to the removed regions, a high temperature drive-in is employed to finish the processing. The final structure contains N and P regions which are dielectrically isolated from each other and from the substrate.
    Type: Grant
    Filed: March 20, 1997
    Date of Patent: August 4, 1998
    Inventors: Anthony D. Kurtz, Andrew V. Bemis
  • Patent number: 5747866
    Abstract: Silicon integrated circuits use a crystalline layer of silicon nitride (Si.sub.3 N.sub.4) in shallow trench isolation (STI) structures as an O.sub.2 -barrier film. The crystalline Si.sub.3 N.sub.4 lowers the density of electron traps as compared with as-deposited, amorphous Si.sub.3 N.sub.4. Further, a larger range of low-pressure chemical-vapor deposited (LPCVD) Si.sub.3 N.sub.4 films can be deposited, providing a larger processing window for thickness controllability. An LPCVD-Si.sub.3 N.sub.4 film is deposited at temperatures of 720.degree. C. to 780.degree. C. The deposited film is in an amorphous state. Subsequently, a high-temperatures rapid-thermal anneal in pure nitrogen or ammonia is conducted at 1050.degree. C. to 1100.degree. for 60 seconds.
    Type: Grant
    Filed: January 21, 1997
    Date of Patent: May 5, 1998
    Assignee: Siemens Aktiengesellschaft
    Inventors: Herbert Ho, Erwin Hammerl, David M. Dobuzinsky, Herbert Palm, Stephen Fugardi, Atul Ajmera, James F. Moseman, Samuel C. Ramac
  • Patent number: 5736770
    Abstract: A semiconductor device comprising: a semiconductor substrate; a diffused region extending from the surface and to the inside of the semiconductor substrate; a first insulating layer formed on the semiconductor substrate and having a contact hole located through which the diffused region is exposed; a first conductor layer formed on a portion of the first insulating layer and connected so the diffused region through the first contact hole; and an insulator section made of an oxide of the substance of the first conductor layer and formed on another portion of the first insulating layer to surround the first conductor layer.
    Type: Grant
    Filed: May 24, 1994
    Date of Patent: April 7, 1998
    Assignee: Nippondenso Co., Ltd.
    Inventors: Akiyoshi Asai, Nobuyuki Ohya, Mitsutaka Katada
  • Patent number: 5710067
    Abstract: A silicon oxime film is formed by plasma enhanced chemical vapor deposition. The silicon oxime film is useful as an anti-reflection layer during photolithography, as an etch stop, and as a protection layer.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: January 20, 1998
    Assignee: Advanced Micro Devices, Inc.
    Inventors: David K. Foote, Subash Gupta
  • Patent number: 5689136
    Abstract: A thin-film semiconductor device comprising at least a semiconductor element and a wiring is disclosed. A thin film of a protective insulating material is formed on the lower surface of the semiconductor element, and a substrate is bonded on the lower surface of the thin film. A method for fabricating the thin-film semiconductor device is also disclosed, in which a thin-film semiconductor circuit is formed on a silicon-on-insulator wafer, the silicon substrate on the reverse side of the silicon-on-insulator wafer is etched off, a thin-film semiconductor chip is formed and attached to the substrate, and the thin-film semiconductor chip and the substrate are wired to each other by printing.
    Type: Grant
    Filed: July 27, 1994
    Date of Patent: November 18, 1997
    Assignee: Hitachi, Ltd.
    Inventors: Mitsuo Usami, Takashi Tase
  • Patent number: 5686748
    Abstract: An embodiment of the present invention describes a method for forming a dielectric material for a storage capacitor during fabrication of a semiconductor memory device, by: cleaning impurities from the surface of a conductive plate of the storage capacitor; forming a nitride film over the conductive plate's cleaned surface; forming a metal silicide film over the nitride film; and oxidizing the metal silicide film by rapid thermal oxide (RTO) processing. A resulting structure is a capacitor having a dielectric material that is an oxidized metal silicide film.
    Type: Grant
    Filed: February 27, 1995
    Date of Patent: November 11, 1997
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P.S. Thakur, Gurtej S. Sandhu
  • Patent number: 5668403
    Abstract: The present invention provides a method of manufacturing a semiconductor device improved so that stress at a boundary between a semiconductor substrate and an element isolation oxide film can be relaxed. In the method, the surface of a semiconductor substrate is oxidized with a nitride film used as a mask to form an element isolation oxide film in the surface of semiconductor substrate. After removing an underlay oxide film and nitride film, semiconductor substrate is heat-treated at a temperature of 950.degree. C. or more. An element is formed in an element region.
    Type: Grant
    Filed: September 3, 1996
    Date of Patent: September 16, 1997
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tatsuya Kunikiyo
  • Patent number: 5661338
    Abstract: A chip mounting plate construction of lead frames for semiconductor packages which provides a chip mounting plate having a greatly reduced area to obtain a small bonding area between the chip mounting plate and a semiconductor chip mounted on the chip mounting plate, thereby capable of minimizing thermal strain generated at the chip mounting plate due to a thermal expansion thereof. The chip mounting plate is constructed to have a smaller area than the semiconductor chip, to have a central opening, or to have recesses.
    Type: Grant
    Filed: December 12, 1995
    Date of Patent: August 26, 1997
    Assignees: Anam Industrial Co., Ltd., Amkor Electronics, Inc.
    Inventors: Youn Cheol Yoo, Hee Yeoul Yoo, Jeong Lee, Doo Hyun Park, In Gyu Han
  • Patent number: 5652459
    Abstract: An improved structure and method for forming an integrated circuit guard ring which prevents contamination/moisture from diffusing through a fuse opening, in the insulating layer(s), to device areas, is described. A first insulating layer is formed over portions of the substrate. A gate insulating layer is formed surrounding the first insulating layer. The first ring surrounds a fuse area--including the area where the fuse will be cut by a laser or burned by a current. A first dielectric layer is formed over the substrate surface. A first passivation layer is then formed over the first insulating layer. A first opening is formed through the first passivation layer and first dielectric layer over the first ring. A fuse is formed over the first passivation layer over the fuse area and a second ring of water impervious material is formed on the first ring through the first opening. The first and second rings form a moisture impervious seal.
    Type: Grant
    Filed: May 6, 1996
    Date of Patent: July 29, 1997
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Chung-zen Chen
  • Patent number: 5650639
    Abstract: A semiconductor-on-diamond structure has a free-standing layer of diamond material that is thick enough to provide integrity for the integrated circuit and to insulate the circuit. The structure has a layer of diamond material 12 on a layer of silicon nitride 62. A device layer of semiconductor material 30 is positioned over the silicon nitride layer.
    Type: Grant
    Filed: December 4, 1995
    Date of Patent: July 22, 1997
    Assignee: Harris Corporation
    Inventors: Gregory A. Schrantz, Jack H. Linn, Richard W. Belcher
  • Patent number: 5646439
    Abstract: An electronic chip component includes an electrode formed on a wafer, a passivation film formed on the wafer, and an organic protective film covering an entire surface of exposed portions of the electrode and the passivation film. A package for packing the component includes a carrier tape having therethrough a space for receiving the component with one end or side of the space opened, and a cover tape for closing the open end of the space after the component is stored in the space. A method for packing the component includes the steps of storing the component in the space of the carrier tape with one end of the space opened, and closing the open end of the space with the cover tape.
    Type: Grant
    Filed: August 27, 1996
    Date of Patent: July 8, 1997
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Yoshifumi Kitayama, Kazuhiro Mori, Keiji Saeki, Takashi Akiguchi
  • Patent number: 5644153
    Abstract: A process for etching nitride layers in three steps is disclosed. The process comprises selecting a process chemistry of CF.sub.4 to CHF.sub.3 to set a predetermined critical dimension bias; conducting a primary etch of the process chemistry which will have a high etch rate; and conducting a secondary etch of ion bombardment having a lower etch rate and high selectivity to pad oxide. In selecting the process chemistry, selecting greater amounts of CHF.sub.3 will result in higher polymer concentration on the etched sidewall. Varying the pressure and power can also be used to vary the polymer concentration. This in turn is used to select the desired critical dimension bias. The secondary etch uses a mixture of NF.sub.3 and HBr and is performed at a high pressure and a low power to promote high nitride to oxide selectivity.
    Type: Grant
    Filed: October 31, 1995
    Date of Patent: July 1, 1997
    Assignee: Micron Technology, Inc.
    Inventor: David J. Keller
  • Patent number: 5623161
    Abstract: An electronic element having a sufficient dielectric strength remarkably superior to any of the conventional ones. In this element, a conductive wire pattern is formed on the surface of a substrate which is insulative at least in its surface, and an insulating layer is so formed as to cover the substrate and the wire pattern either partially or entirely. The insulating layer is composed of a silicon nitride film where the oxygen content is less than 10 atomic percent at least in the vicinity of a step portion of the wire pattern. There is also provided a method of producing such electronic element, wherein the insulating layer is formed by plasma enhanced CVD under the conditions that the following relationship among a film forming temperature T (.degree. C.), an ion flux I (A) and a film forming speed v (nm/min): T.gtoreq.-651 (I/v)+390, 150.ltoreq.T.ltoreq.350 (where the ion flux denotes the current (A) per 60.times.60 cm.sup.2).
    Type: Grant
    Filed: May 17, 1995
    Date of Patent: April 22, 1997
    Assignees: Frontec, Incorporated, Tadahiro Ohmi
    Inventors: Koichi Fukuda, Tomofumi Oba, Chisato Iwasaki, Yasuhiko Kasama, Tadahiro Ohmi
  • Patent number: 5619064
    Abstract: A manufacturable III-V semiconductor gate structure having small geometries is fabricated. A silicon nitride layer is formed on a III-V semiconductor material and a dielectric layer comprised of aluminum is formed on the silicon nitride layer. Another dielectric layer comprised of silicon and oxygen is formed over the dielectric layer comprised of aluminum. The dielectric layer comprised of aluminum acts as an etch stop for the etching of the dielectric layer comprised of silicon and oxygen with a high power reactive ion etch. The dielectric layer comprised of aluminum may then be etched with a wet etchant which does not substantially etch the silicon nitride layer. Damage to the surface of the semiconductor material by exposure to the high power reactive ion etch is prevented by forming the dielectric layer comprised of aluminum between the silicon nitride layer and the dielectric layer comprised of silicon and oxygen.
    Type: Grant
    Filed: January 16, 1996
    Date of Patent: April 8, 1997
    Assignee: Motorola, Inc.
    Inventor: Jaeshin Cho
  • Patent number: 5614745
    Abstract: A semiconductor device has a contact structure between two conductive layers capable of effectively preventing growth of an oxide film and diffusion of impurities between an impurity diffused region in a first one of the conductive layers and a polycrystalline silicon film (the second conductive layer) formed to be in contact with the impurity diffused region. The contact structure between the two conductive layers includes an n-type impurity diffused region 3 formed on a silicon substrate 1, an nitrided oxide film 4 formed to be in contact with the n-type impurity diffused region 3, and a polycrystalline silicon film 5a formed on the nitrided oxide film 4 and doped with impurities. Accordingly, growth of an oxide film and diffusion of impurities between the n-type impurity diffused region 3 and the polycrystalline silicon film 5a are also effectively prevented in a case where heat treatment at a high temperature is subsequently carried out in an oxygen atmosphere.
    Type: Grant
    Filed: December 12, 1994
    Date of Patent: March 25, 1997
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kaoru Motonami
  • Patent number: 5608256
    Abstract: This is a method for forming a recessed LOCOS isolation region, which includes the steps of forming a first silicon nitride layer between the pad oxide layer and a polysilicon buffer layer and a second nitride layer over the polysilicon buffer layer. In addition, the method for forming LOCOS isolation regions can include the additional steps of forming a sidewall seal around the perimeter of the active moat regions prior to the field oxidation step. The resulting field oxide isolation regions have provided a low-profile recessed field oxide with reduced oxide encroachment into the active moat region.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: March 4, 1997
    Assignee: Texas Instruments Incorporated
    Inventor: Kalipatnam V. Rao
  • Patent number: 5608252
    Abstract: Pin-holes or thin sections in the implanted dielectric layer of a SIMOX device are patched by forming a reverse biasable PN junction within the depth range of or proximate to the dielectric layer. A charge depletion zone forms about the PN junction when the Latter is reverse-biased and reinforces or patches weak spots in the implanted dielectric layer such as pin-holes and thin-sections.
    Type: Grant
    Filed: December 15, 1994
    Date of Patent: March 4, 1997
    Assignees: Sharp Microelectronics Technology, Inc., Sharp Kabushiki Kaisha
    Inventor: Tatsuo Nakato
  • Patent number: 5604367
    Abstract: A method of forming an EEPROM memory cell on a semiconductor substrate, comprises forming a first dielectric layer on the substrate, a gate electrode of a select transistor and a first layer of a floating gate electrode of an EEPROM device on the dielectric layer, ion implanted source/drain regions in the substrate adjacent to the gate electrode and the first layer of the floating gate electrode proximate to at least the periphery of the gate electrode and the first layer of the floating gate electrode. The central region of the ion implanted regions is between the gate electrode and the first layer of the floating gate electrode.
    Type: Grant
    Filed: June 23, 1995
    Date of Patent: February 18, 1997
    Assignee: United Microelectronics Corporation
    Inventor: Ming T. Yang
  • Patent number: 5592004
    Abstract: A semiconductor device includes a semiconductor element. A silicon nitride film covers the semiconductor element. The silicon nitride film is made of Si.sub.X N.sub.Y H.sub.Z, where X, Y, and Z denote atomic fractions of Si, N, and H respectively. The silicon nitride film relates to an optical absorption edge wavelength shorter than 254 nm. A mean area of regions surrounded by crystal-like grain boundaries at a surface of the silicon nitride film is equal to 4.5.times.10.sup.4 nm.sup.2 or more. The semiconductor element may include a memory element from which information can be erased by exposure to ultraviolet rays.
    Type: Grant
    Filed: September 28, 1995
    Date of Patent: January 7, 1997
    Assignee: Nippondenso Co., Ltd.
    Inventors: Muneo Tamura, Takeshi Yamauchi, Katuhide Niwa, Takeshi Fukazawa, Akira Kuroyanagi, Tooru Yamaoka
  • Patent number: 5589714
    Abstract: Semiconductor devices are encapsulated in a thermosetting resin filled with aluminum nitride particles. The aluminum nitride particles have an outer layer of Al--O--N, into which is incorporated amorphous Si--O, which renders them hydrolytically stable. The aluminum nitride particles impart very high thermal conductivity to the cured resin. In addition, the cured resin has a CTE similar to that of the encapsulated semiconductor device, and has excellent dielectric properties.
    Type: Grant
    Filed: March 24, 1995
    Date of Patent: December 31, 1996
    Assignee: The Dow Chemical Company
    Inventor: Kevin E. Howard
  • Patent number: 5578848
    Abstract: High quality, ultra thin SiO.sub.2 /Si.sub.3 N.sub.4 (ON) dielectric layers have been fabricated by in situ multiprocessing and low pressure rapid-thermal N.sub.2 O-reoxidation (LRTNO) of Si.sub.3 N.sub.4 films. Si.sub.3 N.sub.4 film was deposited on the RTN-treated polysilicon by rapid-thermal chemical vapor deposition (RT-CVD) using SiH.sub.4 and NH.sub.3, followed by in situ low pressure rapid-thermal reoxidation in N.sub.2 O (LRTNO) or in O.sub.2 (LRTO) ambient. Results show that ultra thin (T.sub.ox,eq =.about.29 .ANG.) ON stacked film capacitors with LRTNO have excellent electrical properties, and reliability.
    Type: Grant
    Filed: September 18, 1995
    Date of Patent: November 26, 1996
    Assignee: Regents of the University of Texas System
    Inventors: Dim-Lee Kwong, Giwan Yoon, Jonghan Kim, Liang-Kai Han, Jiang Yan
  • Patent number: 5561319
    Abstract: A CMOS integrated circuit structure is disclosed having a patterned nitride passivation layer, wherein the nitride is patterned such that it does not overlie the thin gate oxide portions of one or more of the MOS devices. When protection against the effects of external radiation is desired, the thin gate oxide areas of the PMOS devices are left uncovered by the patterned nitride passivation layer. When protection is desired against the effects of internally generated "hot electrons", the thin gate oxide areas of the NMOS devices are left uncovered by the patterned nitride passivation layer.
    Type: Grant
    Filed: August 30, 1994
    Date of Patent: October 1, 1996
    Assignee: LSI Logic Corporation
    Inventors: Alexander H. Owens, Shahin Toutounchi, Abraham Yee, Michael Lyu
  • Patent number: 5557141
    Abstract: A group III-V compound semiconductor doped with an impurity, having an undoped film of SiOx and a film for preventing the diffusion of Group V atoms (e.g., an SiN film) are formed on a crystal of Group III-V compound semiconductor in which the silicon in the SiOx film is diffused into the Group III-V compound semiconductor, thereby forming a doped layer.
    Type: Grant
    Filed: March 30, 1994
    Date of Patent: September 17, 1996
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Yasoo Harada, Shigeharu Matsushita, Satoshi Terada, Emi Fujii, Takashi Kurose, Takayoshi Higashino, Takashi Yamada, Akihito Nagamatsu, Daijirou Inoue, Kouji Matsumura
  • Patent number: 5545919
    Abstract: Metal wires are formed side by side over a semiconductor substrate, with an interlayer insulating film interposed between the metal interconnections and the semiconductor substrate. The metal interconnections are covered with a passivation film composed of a lower silicon oxide film and an upper silicon nitride film. The silicon oxide film is deposited so that the maximum thickness of the portions of the silicon oxide film on the side faces of the metal interconnections is less than half of the minimum space between the metal interconnections. The silicon nitride film is deposited so as to be interposed between the portions of the silicon oxide film on the side faces of the adjacent metal interconnections.
    Type: Grant
    Filed: July 3, 1995
    Date of Patent: August 13, 1996
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Satoshi Ueda, Tetsuya Ueda, Atsuhiro Yamano, Kousaku Yano
  • Patent number: 5541436
    Abstract: High quality ultrathin gate oxides having nitrogen atoms therein with a profile having a peak at the silicon oxide-silicon interface are formed by oxidizing a surface of a monocrystalline silicon body in an atmosphere of nitrous oxide (N.sub.2 O) at a temperature above 900.degree. C. preferably in the range of 900.degree.-1100.degree. C., and then heating the silicon body and oxidized surface in an atmosphere of anhydrous ammonia to introduce additional nitrogen atoms into the oxide and increase resistance to boron penetration without degrading the oxide by charge trapping. The resulting oxynitride has less degradation under channel hot electron stress and approximately one order of magnitude longer lifetime than that of conventional silicon oxide in MIS applications.
    Type: Grant
    Filed: November 10, 1994
    Date of Patent: July 30, 1996
    Assignee: The Regents of the University of Texas System
    Inventors: Dim-Lee Kwong, Giwan Yoon, Jonghan Kim
  • Patent number: 5539249
    Abstract: Reflective notching of a photoresist pattern (20), generated over reflective materials on a semiconductor substrate (12), is minimized by using an anti-reflective layer (20) of silicon-rich silicon nitride. The layer of silicon-rich silicon nitride is formed over the reflective materials and a layer of photoresist is then formed over the silicon-rich silicon nitride. The photoresist layer is then photolithographically patterned to form an integrated circuit pattern (20). The silicon-rich silicon nitride layer has an absorptive index of greater than 0.25, which allows it to be used as an anti-reflective layer with photolithographic patterning systems having ultraviolet and deep ultraviolet exposure wavelengths.
    Type: Grant
    Filed: September 20, 1994
    Date of Patent: July 23, 1996
    Assignee: Motorola, Inc.
    Inventors: Bernard J. Roman, Bich-Yen Nguyen, Chandrasekaram Ramiah
  • Patent number: 5521418
    Abstract: This invention discloses a semiconductor device comprising a semiconductor substrate, a first conducting layer formed on the surface of the semiconductor substrate, an insulating layer formed above the semiconductor substrate, the insulating layer having a contact hole reaching the first conducting layer to expose it, a second conducting layer formed on the insulating layer, the sidewall of the contact hole, and the first conducting layer, and an anti-oxidation layer formed on at least part of the surface of the second conducting layer.
    Type: Grant
    Filed: July 5, 1995
    Date of Patent: May 28, 1996
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yusuke Kohyama
  • Patent number: 5519250
    Abstract: A method for manufacturing semiconductor device having metal leads 14 with improved reliability, and device for same, comprising metal leads 14 on a substrate 12, a low-dielectric constant material 18 at least between the metal leads 14, and thermoconductive insulating layer 22 deposited on the metal leads 14 and the low-dielectric constant material 18, and dummy leads 16 proximate metal leads 14. Heat from the metal leads 14 is transferable to the dummy leads 16 and thermoconductive insulating layer 22, which are both capable of dissipating the heat. A thin thermoconductive layer 24 may be deposited over the metal leads 14 prior to depositing at least the low-dielectric constant material 18 and the thermoconductive insulating layer 22. The low-dielectric constant material 18 has a dielectric constant of less than 3.5. An advantage of the invention is to improve reliability of metal leads for circuits using low-dielectric constant materials.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: May 21, 1996
    Inventor: Ken Numata
  • Patent number: 5514897
    Abstract: A graduated concentration profile is used for defining a buried isolation region in a semiconductor device. Smaller concentrations of dielectric-defining particles are used for implantation at the deepest levels of the isolation region in order to reduce the defect density in an overlying epi layer.
    Type: Grant
    Filed: November 10, 1994
    Date of Patent: May 7, 1996
    Assignees: Sharp Microelectronics Technology, Inc., Sharp Kabushiki Kaisha
    Inventor: Tatsuo Nakato
  • Patent number: 5512785
    Abstract: A semiconductor device (8) has an insulating layer (16) overlying a semiconductor substrate (12). The insulating layer has a first opening that defines an aperture (18) extending from the insulating layer to the semiconductor substrate, and at least a first portion of a first conductive terminal (42) is disposed in the aperture. A second conductive terminal (52) has a second portion (28) disposed in the aperture. The second portion of the second conductive terminal is separated from the first conductive terminal by a composite dielectric layer including a nitride layer (32) and an oxide layer (30). In one approach, the oxide layer is formed by the oxidation of the second portion of the second conductive terminal.
    Type: Grant
    Filed: November 30, 1994
    Date of Patent: April 30, 1996
    Assignee: Motorola, Inc.
    Inventors: Harrison B. Haver, Mark D. Griswold
  • Patent number: 5512779
    Abstract: According to this invention, after a semiconductor nitride film is formed on the entire surface of a semiconductor memory device, the semiconductor nitride film on a memory cell portion is removed. After a semiconductor oxide-based film is formed as an interlayer insulator on the entire surface of the semiconductor memory device, the semiconductor oxide-based film on a peripheral circuit portion is removed using the semiconductor nitride film as a stopper. For this reason, a shallow contact hole is formed in the peripheral circuit portion, and highly reliable wiring can be obtained. In addition, since hydrogen can be supplied to a surface of a semiconductor substrate in the memory cell portion by hydrogen annealing, an interface state on the surface can be eliminated, and the data retention characteristics of the memory cells can be improved.
    Type: Grant
    Filed: June 24, 1994
    Date of Patent: April 30, 1996
    Assignee: Sony Corporation
    Inventor: Masanori Noda
  • Patent number: 5506440
    Abstract: A method is provided for forming an improved poly-buffered LOCOS process by forming a pad oxide layer over a substrate. A first nitride layer is formed over the pad oxide layer and a polysilicon layer is formed over the first nitride layer. A second nitride layer is formed over the polysilicon layer. An opening is etched through the second nitride layer, the polysilicon layer, the first nitride layer and the pad oxide layer to expose a portion of the underlying substrate. A field oxide region is then formed in the opening.
    Type: Grant
    Filed: July 5, 1994
    Date of Patent: April 9, 1996
    Assignee: SGS-Thomson Microelectronics, Inc.
    Inventors: Che-Chia Wei, Robert L. Hodges, Frank R. Bryant
  • Patent number: 5506443
    Abstract: A multilayer insulating film of a semiconductor device, where the distributed quantity of carbon or fluorine is maximized at the interface between insulating films. The concentration of carbon present at the interface is 1.times.10.sup.20 atoms/cm.sup.3 or more.
    Type: Grant
    Filed: October 17, 1994
    Date of Patent: April 9, 1996
    Assignee: Fujitsu Limited
    Inventors: Yuji Furumura, Masahiko Doki, Hidetoshi Nishio
  • Patent number: 5500816
    Abstract: A tunnel insulating film is formed on a main surface of a silicon substrate. A floating gate electrode is formed on the tunnel insulating film. A nitride layer formed of a material of the floating gate electrode is formed in the vicinity of an interface between the floating gate electrode and the tunnel insulating film located in a tunnel region A. Therefore, the write/erase characteristics of a non-volatile semiconductor memory device can be improved without decreasing the driving capability of a memory transistor at lower voltages.
    Type: Grant
    Filed: February 28, 1994
    Date of Patent: March 19, 1996
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kiyoteru Kobayashi
  • Patent number: 5497016
    Abstract: An integrated circuit capacitor is formed on a semiconductor substrate by forming an insulating layer over the substrate, forming a sacrificial layer on the insulating layer and patterning it. A first polysilicon layer is formed in an opening in the sacrificial layer which is then removed. A second insulating layer is formed over the conducting layer and the exposed substrate. A second polysilicon layer, and a third insulating layer are formed. A mask is formed over the first polysilicon layer. A polysilicon oxidation product is formed in place of the second polysilicon layer away from the first polysilicon conducting structure. A mask is formed over the surface of the device, etching through the mask to the substrate and the second polysilicon layer. Metallization is deposited onto the surface of the mask and into the openings therein. The polysilicon layers are conductive.
    Type: Grant
    Filed: November 4, 1994
    Date of Patent: March 5, 1996
    Assignee: Industrial Technology Institute Research
    Inventor: Chao-Ming Koh
  • Patent number: 5493138
    Abstract: An improved electrically programmable and erasable memory device having a plurality of addressable single transistor cells, each transistor having spaced source and drain regions, a floating gate and a control gate. The improvement is a new tunneling insulator layer structure between the floating gate and the control gate. The improved tunneling layer is a dual layer formed of a outer silicon oxide layer and an inner silicon oxynitride layer.
    Type: Grant
    Filed: December 1, 1994
    Date of Patent: February 20, 1996
    Assignee: Chartered Semiconductor Mfg PTE
    Inventor: Michael Koh
  • Patent number: 5488246
    Abstract: A semiconductor device and method of manufacturing the same includes the steps of forming silicon nitride films including much silicon than a stoichiometric silicon nitride (Si.sub.3 N.sub.4) and which will be an anti-reflection film, forming a resist film on the plasma silicon nitride films and, and concurrently patterning plasma silicon nitride films and conductive layers and using the resist film as a mask. As a result, high integration of the semiconductor device can be attained.
    Type: Grant
    Filed: April 18, 1994
    Date of Patent: January 30, 1996
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yoshio Hayashide, Kouichirou Tsujita
  • Patent number: 5483097
    Abstract: A device protecting film having a UV transmissible SiN film, wherein the film is formed by a plasma CVD process in such a manner that a composition ratio Si/N falls within the range of 0.75 to 0.87, a Si--H bond concentration Z (cm.sup.-3) in the SiN film has a value near the value Z expressed by the following formula in accordance with a value X of Si/N:Z=1.58.times.10.sup.22 X-9.94.times.10.sup.21and, at the same time, a hydrogen bond concentration Y (cm.sup.-3) determining the Si--H bond concentration has a value near the value Y expressed by the following formula in accordance with X:Y=1.01.times.10.sup.22 X+0.54.times.10.sup.22The resulting SiN film transmits ultraviolet rays having a wavelength of 254 nm, reduces a stress inside the film, and has high moisture resistance.
    Type: Grant
    Filed: January 13, 1994
    Date of Patent: January 9, 1996
    Assignee: Nippondenso Co., Ltd.
    Inventors: Hiroshi Ohtsuki, Fumio Ohara, Shoji Toyoshima
  • Patent number: 5479029
    Abstract: The present invention provides a sub-mount type device for emitting light which has high speed response and yet can radiate heat sufficiently. The sub-mount type device for emitting light comprises a heat sink (4), a sub-mount body (62) mounted on the heat sink (4) which comprises an insulating layer (38) with a upper face and a lower face, a upper electrode (42) on the upper face and a lower electrode 44 and 36 on the lower face, the insulating layer having two parts of the insulating layer (38) thickness of which is different, and a chip (30) for emitting light above the thinner part (39) of the insulating layer (38).
    Type: Grant
    Filed: March 31, 1994
    Date of Patent: December 26, 1995
    Assignee: Rohm Co., Ltd.
    Inventors: Satoshi Uchida, Hiroaki Takuma, Katsuhiko Ikawa
  • Patent number: 5468990
    Abstract: Embodiments according to the present invention provide tamper resistant structures which make it more difficult to reverse engineer integrated circuits. In one embodiment, a tamper resistant structure on a passivation layer leaves portions of the passivation layer exposed. Mechanical or chemical removal of the tamper resistant structure damages exposed portions of the passivation layer and makes reverse engineering difficult. Other embodiments of the tamper resistant structure include patterned and unpatterned structures containing hard materials, chemically resistant materials, amalgams, fibrous materials, and/or meshes attached to a passivation layer. Tamper resistant structures can also be provided between layers of the active circuitry.
    Type: Grant
    Filed: July 22, 1993
    Date of Patent: November 21, 1995
    Assignee: National Semiconductor Corp.
    Inventor: Keith E. Daum
  • Patent number: 5461254
    Abstract: There is described a multiple layer metallurgy, spin-on-glass multilayer metallurgy structure and method for making such structure for a one micrometer or less feature size integrated circuit with substantially free field inversion on a semiconductor substrate having a pattern of device regions therein. A passivation layer is located over the surfaces of the patterns. A pattern of openings are made through the passivation layer to at least some of the device regions which include source/drain regions. A patterned first metallurgy layer is in contact with the pattern of openings. A first via dielectric layer is located over the pattern of first metallurgy layer. A silicon-rich barrier dielectric layer is located over the first layer. A cured spin-on-glass layer is over the barrier layer. A silicon oxide second via dielectric layer is over the spin-on-glass layer. A pattern of openings is in the second via layer, spin-on-glass layer, barrier layer and first via layer.
    Type: Grant
    Filed: December 6, 1994
    Date of Patent: October 24, 1995
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Lih-Shyng Tsai, Jiunn-Jyi Lin, Kwang-Ming Lin, Shu-Lan Ying
  • Patent number: 5455453
    Abstract: A plastic package type semiconductor device is composed of a rolled metal substrate made of copper or copper alloy and an insulating film formed on the surface of the substrate. The film may be a single-layer film made of silicon oxynitride or a composite film formed by laminating a silicon oxide layer and a silicon oxynitride layer (or a silicon nitride layer). A semiconductor element is mounted on the film or on the exposed surface of the substrate. Other passive elements are provided on the film. After connecting these elements with bonding wires, the entire device is sealed in a resin molding. This device is thus free of cracks due to difference in thermal expansion between the film and the substrate, or peeling due to moisture absorption.
    Type: Grant
    Filed: July 1, 1992
    Date of Patent: October 3, 1995
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Keizo Harada, Takao Maeda, Takatoshi Takikawa, Shunsuke Ban, Shosaku Yamanaka
  • Patent number: 5449941
    Abstract: A semiconductor memory device capable of being electrically written and erased comprising a floating gate, wherein, a silicon nitride, silicon oxinitride, aluminum oxide, or silicon carbide film is incorporated between the drain region and the floating gate.
    Type: Grant
    Filed: October 27, 1992
    Date of Patent: September 12, 1995
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuhiko Takemura
  • Patent number: 5449950
    Abstract: A photosensor includes a substrate; a photoconductive layer formed on the substrate; a pair of electrodes mounted on and electrically connected to the photoconductive layer; a light reception portion formed between the electrodes; and a protective layer formed on the light reception portion; wherein an organic silicon film with a small content of metal ion is formed at least at the uppermost portion of the protective layer.
    Type: Grant
    Filed: April 21, 1994
    Date of Patent: September 12, 1995
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yuichi Masaki, Masaki Fukaya, Teruhiko Furushima, Katsunori Terada, Seiji Kakimoto
  • Patent number: 5442223
    Abstract: An SOI-type semiconductor device in which electrical elements formed on one semiconductor substrate are isolated from each other by an insulating film and a shield layer, to ensure a stable operation of the electrical elements against electrical noise etc., and at the same time, a stress relief film is formed between the insulating film and the shield layer to ensure that an SOI layer is stabilized by being free from crystal defects. A process for producing same is also disclosed.
    Type: Grant
    Filed: April 11, 1994
    Date of Patent: August 15, 1995
    Assignee: Nippondenso Co., Ltd.
    Inventor: Tetsuo Fujii
  • Patent number: 5442193
    Abstract: A field emission device including an electron emitter and a peripherally disposed gate extraction electrode defining a free space region therebetween. The device has an insulating layer substantially isolating the gate extraction electrode from the free space region. The device prevents damaging arc discharge between the electron emitter and gate extraction electrode because of the improved insulation and provides an additional mechanism for electric field enhancement.
    Type: Grant
    Filed: February 22, 1994
    Date of Patent: August 15, 1995
    Assignee: Motorola
    Inventors: James E. Jaskie, Robert C. Kane
  • Patent number: 5440168
    Abstract: A thin-film transistor (3, 5a, 5b and 5c) is covered with a first silicon nitride film (9) formed by an LPCVD method. A first silicon oxide film (6) is formed on the first silicon nitride film (9). A second silicon nitride film (7), i.e., passivation film which is formed by a plasma CVD method is provided on the first silicon oxide film (6). In addition, the thin-film transistor includes a semiconductor layer covering a gate electrode. The semiconductor layer includes source, drain and active regions. The active region preferably includes a smaller amount of fluorine than the gate electrode.
    Type: Grant
    Filed: February 18, 1994
    Date of Patent: August 8, 1995
    Assignees: Ryoden Semiconductor System Engineering Corporation, Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hisayuki Nishimura, Shigeto Maegawa, Shigenobu Maeda