Insulating Layer Of Silicon Nitride Or Silicon Oxynitride Patents (Class 257/649)
  • Patent number: 6858898
    Abstract: An object of the present invention is to prevent the deterioration of a TFT (thin film transistor). The deterioration of the TFT by a BT test is prevented by forming a silicon oxide nitride film between the semiconductor layer of the TFT and a substrate, wherein the silicon oxide nitride film ranges from 0.3 to 1.6 in a ratio of the concentration of N to the concentration of Si.
    Type: Grant
    Filed: March 22, 2000
    Date of Patent: February 22, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masahiko Hayakawa, Mitsunori Sakama, Satoshi Toriumi
  • Patent number: 6841850
    Abstract: A semiconductor device of this invention includes a silicon nitride film formed on a semiconductor substrate and having a density of 2.2 g/cm3 or less, and a silicon oxide film formed on the silicon nitride film in an ambient atmosphere containing TEOS and O3.
    Type: Grant
    Filed: September 6, 2002
    Date of Patent: January 11, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Susumu Hiyama, Akihito Yamamoto, Hiroshi Akahori, Shigehiko Saida
  • Patent number: 6828624
    Abstract: A nonvolatile semiconductor memory device includes comprises: an element isolation region being in contact with a first element region, an insulating film covering a memory cell, a peripheral transistor and the element isolation region, an inter-level insulating film provided on the surface of the insulating film, and a contact hole provided in the inter-level insulating film and the insulating film. The inter-level insulating film contains an insulator different from the insulating film. The contact hole reaches at least one of source and drain diffusion layers of the memory cell and overlaps the element isolation region. The insulating film contains an insulator different from the element isolation region and the insulating film is harder for an oxidizing agent to pass therethrough than a silicon oxide film. A surface of the insulating film is oxidized.
    Type: Grant
    Filed: April 25, 2000
    Date of Patent: December 7, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akira Goda, Riichiro Shirota, Kazuhiro Shimizu, Hiroaki Hazama, Hirohisa Iizuka, Seiichi Aritome, Wakako Moriyama
  • Patent number: 6812572
    Abstract: An etch-stop layer is selectively provided between layers of a multiple-layered circuit in a selective manner so as to allow for outgassing of impurities during subsequent fabrication processes. The etch-stop layer is formed over an underlying stud so as to serve as an alignment target during formation of an overlying stud formed in an upper layer. In this manner multiple-layered circuits, for example memory devices, can be fabricated in relatively dense configurations.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: November 2, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Won-Suk Yang, Sang-Ho Song, Hong-Sik Jeong, Ki-Nam Kim
  • Publication number: 20040188688
    Abstract: An object of the present invention is to increase adhesiveness between thin films, particularly a high molecular film formed on an insulating surface, and the present invention provides a semiconductor device with high reliability and a method for manufacturing the semiconductor device with high yield. A semiconductor device of the present invention comprises a laminate structure formed in close contact with an organic insulating film on a hydrophobic surface of an inorganic insulating film including silicon and nitrogen. A film having the hydrophobic surface is an insulating film having a contact angle of water of equal to or more than 30°, preferably of equal to or more than 40°.
    Type: Application
    Filed: March 25, 2004
    Publication date: September 30, 2004
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koji Muranaka
  • Patent number: 6798065
    Abstract: Method and apparatus for plasma etching both metal and inorganic dielectric layers in a single chamber during deep sub-micron semiconductor fabrication. Fluorine based chemistries, or a mixture of fluorine and chlorine based chemistries, are used to etch the inorganic dielectric layer. A switch is then made to chlorine based chemistries, within the same etching chamber, which are utilized to etch the metal layer. Overetching may also be performed with chlorine based chemistries to clear any residuals.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: September 28, 2004
    Assignee: Newport Fab, LLC
    Inventors: Shao-Wen Hsia, Michael J. Berg, Maureen R. Brongo
  • Patent number: 6798026
    Abstract: Methods and apparatus for forming word line stacks comprise forming a thin nitride layer coupled between a bottom silicon layer and a conductor layer. In a further embodiment, a diffusion barrier layer is coupled between the thin nitride layer and the bottom silicon layer. The thin nitride layer is formed by annealing a silicon oxide film in a nitrogen-containing ambient.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: September 28, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Randhir P. S. Thakur, Scott DeBoer
  • Patent number: 6794312
    Abstract: A process for producing a nitrided oxide layer on a silicon semiconductor substrate includes introducing a multiplicity of wafers into an atmospheric batch furnace, carrying out an oxidation step at a first predetermined temperature, carrying out a nitriding step at a second predetermined temperature, and carrying out a reoxidation step at a third predetermined temperature. The wafers are then cooled and removed from the atmospheric batch furnace.
    Type: Grant
    Filed: July 11, 2002
    Date of Patent: September 21, 2004
    Assignee: Infineon Technologies AG
    Inventors: Ayad Abdul-Hak, Thomas Gaertner, Joerg Schulze
  • Publication number: 20040169259
    Abstract: A method for forming silicon nitride films on semiconductor devices is provided. In one embodiment of the method, a silicon-comprising substrate is first exposed to a mixture of dichlorosilane (DCS) and a nitrogen-comprising gas to deposit a thin silicon nitride seeding layer on the surface, and then exposed to a mixture of silicon tetrachloride (TCS) and a nitrogen comprising gas to deposit a TCS silicon nitride layer on the DCS seeding layer. In another embodiment, the method involves first nitridizing the surface of the silicon-comprising substrate prior to forming the DCS nitride seeding layer and the TCS nitride layer. The method achieves a TCS nitride layer having a sufficient thickness to eliminate bubbling and punch-through problems and provide high electrical performance regardless of the substrate type. Also provided are methods of forming a capacitor, and the resulting capacitor structures.
    Type: Application
    Filed: March 4, 2004
    Publication date: September 2, 2004
    Applicant: Micron Technology, Inc.
    Inventors: Lingyi A. Zheng, Er-Xuan Ping
  • Patent number: 6774462
    Abstract: Disclosed is a semiconductor device comprising a semiconductor substrate, a gate electrode, a first insulating film formed between the semiconductor substrate and the gate electrode, and a second insulating film formed along a top surface or a side surface of the gate electrode and including a lower silicon nitride film containing nitrogen, silicon and hydrogen and an upper silicon nitride film formed on the lower silicon nitride film and containing nitrogen, silicon and hydrogen, and wherein a composition ratio N/Si of nitrogen (N) to silicon (Si) in the lower silicon nitride film is higher than that in the upper silicon nitride film.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: August 10, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masayuki Tanaka, Yoshio Ozawa, Shigehiko Saida, Akira Goda, Mitsuhiro Noguchi, Yuichiro Mitani, Yoshitaka Tsunashima
  • Patent number: 6756647
    Abstract: A semiconductor device includes an n-type semiconductor substrate including a source region and a drain region in a main surface thereof, a high-permittivity insulator film including a high permittivity material and formed to cover an upper side of a region of the main surface of n-type semiconductor substrate, which region is interposed between source region and drain region. And the semiconductor device includes a boron-doped gate electrode formed above high-permittivity insulator film, and a high-permittivity nitride layer formed between high-permittivity insulator film and boron-doped gate electrode.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: June 29, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Masao Inoue, Akinobu Teramoto, Junichi Tsuchimoto
  • Publication number: 20040099927
    Abstract: A method for fabricating a substantially smooth-surfaced anti-reflective coating on a semiconductor device structure including generating a plasma from an inert gas in a process chamber in which the anti-reflective coating is to be deposited. The anti-reflective coating may include silicon, oxygen and nitrogen, and is preferably of the general formula SixOyNz, where x equals 0.40 to 0.65, y equals 0.02 to 0.56 and z equals 0.05 to 0.33. Preferably, x+y+z equals one. The method may also include fabricating a silicon nitride layer over the anti-reflective coating. A semiconductor device comprising a silicon nitride layer over the anti-reflective coating including at most about 1¼ in-film particles per square millimeter of surface area particles or surface roughness features in the silicon nitride of about 120-150 nanometers. Accordingly, a mask that is subsequently formed over the silicon nitride layer has a substantially uniform thickness and is substantially distortion-free.
    Type: Application
    Filed: November 17, 2003
    Publication date: May 27, 2004
    Inventor: Zhiping Yin
  • Patent number: 6737730
    Abstract: This invention embodies an improved process for annealing integrated circuits to repair fabrication-induced damage. An integrated circuit is annealed in a pressurized sealed chamber in which a forming gas comprising hydrogen is present. Pressurization of the chamber reduces the contribution made by the final anneal step to total thermal exposure by increasing the diffusion rate of the hydrogen into the materials from which the integrated circuit is fabricated. Ideally, the forming gas contains, in addition to hydrogen, at least one other gas such as nitrogen or argon that will not react with hydrogen and, thus, reduces the danger of explosion. However, the integrated circuit may be annealed in an ambiance containing only hydrogen gas that is maintained at a pressure greater than ambient atmospheric pressure.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: May 18, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Richard H. Lane, Phillip G. Wald
  • Patent number: 6734518
    Abstract: The present invention comprises a method for preventing particle formation in a substrate overlying a DARC coating. The method comprises providing a semiconductor construct. A DARC coating is deposited on the construct with a plasma that comprises a silicon-based compound and N2O. The DARC coating is exposed to an atmosphere that effectively prevents a formation of defects in the substrate layer. The exposed DARC coating is overlayed with the substrate.
    Type: Grant
    Filed: August 8, 2001
    Date of Patent: May 11, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej Singh Sandhu
  • Patent number: 6730992
    Abstract: To a provide a method of forming a layered film of a silicon nitride film and a silicon oxide film on a glass substrate in a short time without requiring a plurality of film deposition chambers. In a thin film transistor, a layered film including a silicon nitride oxide film (12) is formed between a semiconductor layer (13) and a substrate (11) using the same chamber. The silicon nitride oxide film has a continuously changing composition ration of nitrogen or oxygen. An electric characteristic of the TFT is thus improved.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: May 4, 2004
    Assignees: Semiconductor Energy Laboratory Co., Ltd., Sharp Kabushiki Kaisha
    Inventors: Mitsunori Sakama, Noriko Ishimaru, Masahiko Miwa, Mitinori Iwai
  • Patent number: 6727569
    Abstract: A structure and an improved isolation trench between active regions within the semiconductor substrate involves forming on a silicon substrate and forming a nitride layer on the pad layer. Thereafter, a photoresist layer is patterned on the silicon nitride layer such that regions of the nitride layer are exposed where an isolation trench will subsequently be formed. Next, the exposed regions of the nitride layer and the pad layer situated below the exposed regions of the nitride layer are etched away to expose regions of the silicon substrate. Subsequently, isolation trenches are etched into the silicon substrate with a dry etch process. A trench liner is then formed and nitrogen incorporated into a portion of the trench liner to form an oxynitride layer. After formation of the oxynitride layer, the trench is filled with a dielectric preferably comprised of a CVD oxide. Thereafter, the CVD fill dielectric is planarized and the nitride layer is stripped away.
    Type: Grant
    Filed: April 21, 1998
    Date of Patent: April 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer, Robert Paiz
  • Patent number: 6713390
    Abstract: A method is provided for depositing a barrier layer on a substrate using a gaseous mixture that includes a hydrocarbon-containing gas and a silicon-containing gas. The gaseous mixture is provided to a process chamber and is used to form a plasma for depositing the barrier layer. The barrier layer is deposited with a thickness less than 500 Å. Suitable hydrocarbon-containing gases include alkanes and suitable silicon-containing gases include silanes.
    Type: Grant
    Filed: July 12, 2002
    Date of Patent: March 30, 2004
    Assignee: Applied Materials Inc.
    Inventors: Hichem M'Saad, Seon Mee Cho, Dana Tribula
  • Publication number: 20040056332
    Abstract: A silicon on insulator substrate apparatus for fabricating an active-matrix liquid crystal display is described herein. The silicon on insulator substrate may include a handle substrate and a plurality of crystalline silicon donor portions bonded to the handle substrate. The crystalline silicon donor portions may be bonded to the handle substrate by providing a plurality of donor substrates and forming a separation layer within each donor substrate. The donor substrates may be arranged across a surface of the handle substrate and subsequently bonded to the handle substrate. The donor substrates may then be cleaved at their respective separation layers and removed from the handle substrate, thereby leaving a donor portion of each donor substrate attached the handle substrate.
    Type: Application
    Filed: September 12, 2002
    Publication date: March 25, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Robert Bachrach, Kam Law
  • Patent number: 6707099
    Abstract: A semiconductor device less susceptible to inverse narrow channel effect and its manufacturing method are provided. A silicon nitride film (13) is adopted as element isolation regions; the silicon nitride film (13) has a smaller etch rate than a sacrificial silicon oxide film (7) which serves as a sacrificial layer during ion implantation (8). This prevents formation of recesses in the silicon nitride film (13) during the removal of the sacrificial silicon oxide film (7), which weakens the strength of the electric fields at the gate edges. Weakening the strength of the electric fields at the gate edges suppresses the inverse narrow channel effect, so that the MOS transistor offers a characteristic closer to a characteristic in which the threshold voltage keeps a constant value independently of the channel width. Thus an MOS transistor having a good characteristic can be manufactured.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: March 16, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Katsuomi Shiozawa, Takashi Kuroi, Katsuyuki Horita
  • Patent number: 6703690
    Abstract: Mechanical stress is diminished by forming an oxidation mask with silicon nitride having a graded silicon concentration. Grading is accomplished by changing the silicon content in the silicon nitride. The silicon nitride can be graded in a substantially linear or non-linear fashion. In one embodiment, the graded silicon nitride may be formed with one type of non-linear silicon grading, an abrupt junction. In other embodiments, the silicon nitride is formed in a variety of shapes fashioned during or after silicon nitride growth. In one embodiment, the stress is reduced by forming a polysilicon buffer layer between two silicon nitride layers. In another embodiment, stress is reduced by forming the silicon nitride on a pad layer, which in turn is formed on a base layer.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: March 9, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Kevin G. Donohoe, Zhiqiang Wu, Alan R. Reinberg
  • Patent number: 6677661
    Abstract: In one aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) enriching a portion of the thickness of the silicon nitride layer with silicon, the portion comprising less than or equal to about 95% of the thickness of the layer of silicon nitride. In another aspect, the invention includes a semiconductor fabrication process, comprising: a) providing a substrate; b) forming a layer of silicon nitride over the substrate, the layer having a thickness; and c) increasing a refractive index of a first portion of the thickness of the silicon nitride layer relative to a refractive index of a second portion of the silicon nitride layer, the first portion comprising less than or equal to about 95% of the thickness of the silicon nitride layer.
    Type: Grant
    Filed: October 28, 1999
    Date of Patent: January 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Scott Jeffrey DeBoer, John T. Moore, Mark Fischer, Randhir P. S. Thakur
  • Patent number: 6670695
    Abstract: An anti-reflection layer and method of manufacture. A silicon substrate has a conductive layer formed thereon. Plasma-enhanced chemical vapor deposition is performed to form a graded silicon oxynitride layer over the conductive layer. During silicon oxynitride deposition, concentration of one of the reactive gases nitrous oxide is gradually reduced so that the graded silicon oxynitride layer is oxygen-rich near bottom but nitrogen-rich near the top.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: December 30, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Jing-Horng Gau, Shuenn-Jeng Chen
  • Patent number: 6670710
    Abstract: A semiconductor device is provided with a first insulating film, a first wiring layer formed in the first insulating film, a second insulating film formed above the first wiring layer and the first insulating film, the second insulating film including a low dielectric constant film, a second wiring layer formed in the second insulating film and coupled to the first wiring layer through a first connection section, and a third insulating film formed above the second wiring layer and the second insulating film and serving as one of an interlayer insulating film and a passivation film, and at least one of the first and third insulating films being one of a film formed mainly of SiON, a film formed mainly of SiN, and a laminated film being the films formed mainly of SiON or SiN respectively.
    Type: Grant
    Filed: July 31, 2001
    Date of Patent: December 30, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Noriaki Matsunaga
  • Patent number: 6667251
    Abstract: A method of forming a dielectric layer suitable for use as the gate dielectric layer in a MOSFET includes nitridizing a thin silicon oxide film in a low power, direct plasma formed from nitrogen. A gas having a lower ionization energy than nitrogen, such as for example, helium, may be used in combination with nitrogen to produce a lower power plasma resulting in a steeper concentration curve for nitrogen in the silicon oxide film.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: December 23, 2003
    Assignee: Intel Corporation
    Inventors: Robert McFadden, Jack Kavalieros, Reza Arghavani, Doug Barlage, Robert Chau
  • Patent number: 6664201
    Abstract: An anti-reflection layer and method of manufacture. A silicon substrate has a conductive layer formed thereon. Plasma-enhanced chemical vapor deposition is performed to form a graded silicon oxynitride layer over the conductive layer. During silicon oxynitride deposition, concentration of one of the reactive gases nitrous oxide is gradually reduced so that the graded silicon oxynitride layer is oxygen-rich near bottom but nitrogen-rich near the top.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: December 16, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Jing-Horng Gau, Shuenn-Jeng Chen
  • Patent number: 6657284
    Abstract: Within a method for forming a dielectric layer, there is first provided a substrate. There is then formed over the substrate a dielectric layer, wherein the dielectric layer is formed from a dielectric material comprising silicon, carbon and nitrogen. Preferably, a nitrogen content is graded within a thickness of the dielectric layer to provide an upper lying nitrogen rich contiguous surface layer of the dielectric layer and a lower lying nitrogen poor contiguous layer of the dielectric layer. The method contemplates a microelectronic fabrication having formed therein a dielectric layer formed in accord with the method. The method provides the resulting dielectric layer with a lower dielectric constant and enhanced adhesion properties as a substrate layer.
    Type: Grant
    Filed: December 1, 2000
    Date of Patent: December 2, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lain-Jong Li, Shwang-Ming Jeng, Syun-Ming Jang, Chen-Hua Yu
  • Patent number: 6639279
    Abstract: The present invention provides a semiconductor device capable of preventing deterioration in carrier mobility of a semiconductor layer, which is a quality of the interface between the semiconductor layer and an insulating layer, and a method of manufacturing the semiconductor device. In the semiconductor device, an interface layer is provided between a semiconductor layer made of active polycrystalline silicon and an insulating layer made of silicon oxide. The nitrogen element in silicon nitride diffuses into the semiconductor layer made of active polycrystalline silicon to compensate for lattice strain of the active polycrystalline silicon film, to satisfy the desired quality of the interface between the semiconductor layer and the insulating layer.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: October 28, 2003
    Assignee: LG. Philips LCD Co., Ltd.
    Inventor: Chae Gee Sung
  • Patent number: 6614098
    Abstract: A method of fabricating a tungsten contact in a semiconductor device comprises providing an oxide layer on a region of a silicon substrate; depositing a sealing dielectric layer over the oxide layer; and depositing an interlevel dielectric layer over the sealing layer. The interlevel dielectric layer, the sealing dielectric layer and the oxide layer are then etched through as far as the substrate thereby to form a contact hole and to expose the said region. A dopant is implanted into the said region whereby the implanted dopant is self-aligned to the contact hole. The substrate is thermally annealed. Tungsten is selectively deposited in the contact hole and an interconnect layer is deposited over the deposited tungsten contact. The invention also provides a semiconductor device which incorporates a tungsten contact and which can be fabricated by the method.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: September 2, 2003
    Assignee: Inmos Limited
    Inventors: Howard Charles Nicholls, Michael John Norrington, Michael Kevin Thompson
  • Patent number: 6607992
    Abstract: An antireflection coating has two-layer structure including lower and upper silicon nitride films (p-SiN films) formed by plasma CVD. For the lower p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.9 nor more than 2.5, the imaginary part is set in the range of not less than 0.9 nor more than 1.7, and the film thickness is set in the range of not less than 20 nm nor more than 60 nm. For the upper p-SiN film, the real part of its complex index of refraction is set in the range not less than 1.7 nor more than 2.4, the imaginary part is set in the range of not less than 0.15 nor more than 0.75, and the film thickness is set in the range of not less than 10 nm nor more than 40 nm.
    Type: Grant
    Filed: September 19, 2001
    Date of Patent: August 19, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kouichirou Tsujita, Atsumi Yamaguchi, Junjiro Sakai, Kouji Oda, Koichiro Narimatsu
  • Patent number: 6608351
    Abstract: The performance of high-voltage devices is often influenced by charge-creep effects in the package. In order to avoid the resultant degradation, a bleeder may be used between the device and the package. However, it has been found in practice that the use of a high-resistive bleeder may lead to a certain instability of the device during operation. According to the invention, the bleeder (8) is provided with a plurality of conductive regions (12, 13) which are distributed in such a way that, when a high voltage is applied across the bleeder, a non-linear potential profile across the bleeder is obtained, which harmonizes with the ideal potential profile without the bleeder, instead of a linear profile which would have been obtained in the absence of said conductive regions due to charge-loading effects, and which would result in the above-mentioned instability effects.
    Type: Grant
    Filed: June 1, 2000
    Date of Patent: August 19, 2003
    Inventors: Constantinus Paulus Meeuwsen, Hendrik Gezienus Albert Huizing, Adrianus Willem Ludikhuize
  • Patent number: 6605855
    Abstract: The present invention relates to a method for fabricating interconnecting lines and vias in a layer of insulating material. A via is formed in the layer of insulating material. A protective material is formed so as to be conformal to at least edges and sidewalls of the via, the protective material facilitating shielding of at least the edges and sidewalls of the via from a trench etch step. The trench etch step is performed to form a trench opening in the insulating material. The via and trench are filled with a conductive metal.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: August 12, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bhanwar Singh, Michael K. Templeton, Bharath Rangarajan, Christopher F. Lyons, Sanjay K. Yedur, Ramkumar Subramanian
  • Patent number: 6586820
    Abstract: An improved photolithography technique is provided whereby the beneficial effects of using an anti-reflective coating may be realized while maintaining critical dimensions in each subsequent step. This improvement is realized by the treatment of the anti-reflective coating with a gaseous plasma or a solution of sulfuric acid and hydrogen peroxide. By treating the anti-reflective coating with gaseous plasma or solution of sulfuric acid and hydrogen peroxide, no “footing” results and the critical dimensions as set by the photoresist mask are preserved to provide an accurately patterned mask for subsequent steps.
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: July 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej S. Sandhu
  • Publication number: 20030107111
    Abstract: A 3D microelectronic structure is provided which includes a substrate having at least one opening present therein, the at least one opening having sidewalls which extend to a common bottom wall; and a thermal nitride layer present on at least an upper portion of each sidewall of openings. A method for fabricating the above-mentioned 3D microelectronic structure is also provided. Specifically, the method includes a step of selectively forming a thermal nitride layer on at least an upper portion of each sidewall of an opening formed in a substrate.
    Type: Application
    Filed: December 10, 2001
    Publication date: June 12, 2003
    Applicant: International Business Machines Corporation
    Inventors: Oleg Gluschenkov, Michael P. Chudzik, Rajarao Jammy, Christopher C. Parks, Kenneth T. Settlemyer, Radhika Srinivasan, Kathryn H. Varian
  • Patent number: 6576990
    Abstract: A stress-free wafer comprising a substrate formed of a semiconductor material having front side and back side planar and parallel surfaces and having a thickness which can be as thin as 1 to 2 mils. The front side has electronic circuitry therein with exposed contact pads. The back side is ground and polished so that the wafer is substantially stress free and can withstand bending over a 2″ radius without breaking or damaging.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: June 10, 2003
    Assignee: Aptek Industries, Inc.
    Inventors: H. Kelly Flesher, Albert P. Youmans
  • Patent number: 6573197
    Abstract: The present invention provides a method of fabricating a thermally stable polysilicon/high-k dielectric film stack utilizing a deposition method wherein Si-containing precursor gas which includes silicon and hydrogen is diluted with an inert gas such as He so as to significantly reduce the hydrogen content in the resultant polysilicon film. Semiconductor structures such as field effect transistors (FETs) and capacitors which include at least the thermally stable polysilicon/high-k dielectric film stack are also provided herein.
    Type: Grant
    Filed: April 12, 2001
    Date of Patent: June 3, 2003
    Assignee: International Business Machines Corporation
    Inventors: Alessandro C. Callegari, Evgeni Gousev, Michael A. Gribelyuk, Paul C. Jamison, Dianne L. Lacey
  • Patent number: 6566736
    Abstract: Moisture seal apparatus and methodologies are disclosed for protecting semiconductor devices from moisture. An upper seal layer, such as SiN is formed over an upper insulator layer and an exposed portion of a die seal metal structure so as to form a vertical moisture seal between electrical components in the semiconductor device and the ambient environment. A lateral seal may be formed from the die seal metal structure in an upper metal layer in the device and one or more contacts extending downward from the die seal metal to the substrate or to a lower die seal metal structure.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: May 20, 2003
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Hiroyuki Ogawa, Yider Wu, Yu Sun
  • Patent number: 6559074
    Abstract: A silicon nitride layer is formed over transistor gates while the processing temperature is relatively high, typically at least 500° C., and the pressure is relatively high, typically at least 50 Torr, to obtain a relatively high rate of formation of the silicon nitride layer. Processing conditions are controlled so as to more uniformly form the silicon nitride layer. Generally, the ratio of the NH3 gas to the silicon-containing gas by volume is selected sufficiently high so that, should the surface have a low region between transistor gates which is less than 0.15 microns wide and have a height-to-width ratio of at least 1.0, as well as an entirely flat area of at least 5 microns by 5 microns, the layer forms at a rate of not more than 25% faster on the flat area than on a base of the low region.
    Type: Grant
    Filed: December 12, 2001
    Date of Patent: May 6, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Steven A. Chen, Xianzhi Tao, Shulin Wang, Lee Luo, Kegang Huang, Sang H. Ahn
  • Patent number: 6548871
    Abstract: Two source/drain regions (20) belonging to separate elements which are adjacent to each other are connected through a metal layer (14) having the same height as a height of a metal layer (10) forming a part of a gate electrode. In a manufacturing process, an insulating layer (8) is made of other material than and inserted between two insulating layers (7) and (16). The two insulating layers (7) and (16)function as molds for burying the metal layers (10), (14) and (15) therein and made of the same material. The metal layer (14) can therefore be formed at the same height as the height of the metal layer (10). Accordingly, portions to be connected through a wiring which are provided at a comparatively short distance are connected while reducing a wiring capacity.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: April 15, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Katsuyuki Horita, Takashi Kuroi, Yasuyoshi Itoh, Katsuomi Shiozawa
  • Patent number: 6548873
    Abstract: A semiconductor device causes less element characteristic fluctuation and hardly causes parasitic actions even when a wire having a barrier metal made of a titanium material is provided. The semiconductor device includes a MOS transistor provided on the surface side of a semiconductor substrate, a first silicon oxide film, a silicon nitride film and a second silicon oxide film provided on the semiconductor substrate while covering the MOS transistor, and a wire having a barrier metal made of titanium material and provided on the insulating film, wherein the silicon nitride film covers the MOS transistor and has an opening on an element isolating region for isolating the MOS transistors. The silicon nitride film is formed in one and the same process as that of a dielectric film of a capacitor element.
    Type: Grant
    Filed: October 12, 1999
    Date of Patent: April 15, 2003
    Assignee: Sony Corporation
    Inventors: Hiroaki Ammo, Hiroyuki Miwa, Shigeru Kanematsu
  • Patent number: 6545295
    Abstract: A thin film transistor and a liquid crystal display panel are provided. These devices include a layer of ammonia-free silicon nitride formed between the gate and the gate insulator of the device.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: April 8, 2003
    Assignee: International Business Machines Corporation
    Inventors: John Batey, Peter M. Fryer, Jun Hyung Souk
  • Patent number: 6541843
    Abstract: An anti-reflective coating material layer is provided that has a relatively high etch rate such that it can be removed simultaneously with the cleaning of a defined opening in a relatively short period of time without affecting the critical dimensions of the opening. A method of forming such a layer includes providing a substrate assembly surface and using a gas mixture of at least a silicon containing precursor, a nitrogen containing precursor, and an oxygen containing precursor. The layer is formed at a temperature in the range of about 50° C. to about 600° C. Generally, the anti-reflective coating material layer deposited is SixOyNz:H, where x is in the range of about 0.39 to about 0.65, y is in the range of about 0.02 to about 0.56, z is in the range of about 0.05 to about 0.33, and where the atomic percentage of hydrogen in the inorganic anti-reflective coating material layer is in the range of about 10 atomic percent to about 40 atomic percent.
    Type: Grant
    Filed: August 24, 2001
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej Sandhu
  • Patent number: 6521945
    Abstract: A dielectric insulating composite for insulating a floating gate from a control gate in a non-volatile memory is described. A material, such as an undoped polysilicon, amorphous silicon, or amorphous polysilicon or a silicon rich nitride, is inserted in the gate structure. The oxide film that results from the oxidation of these films is relatively free from impurities. As a result, charge leakage between the floating gate and control gate is reduced.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: February 18, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Michael Nuttall, Garry A. Mercaldi
  • Patent number: 6521977
    Abstract: Semiconductor structures are provided with on-board deuterium reservoirs or with deuterium ingress paths which allow for diffusion of deuterium to semiconductor device regions for passivation purposes. The on-board deuterium reservoirs are in the form of plugs which extend through an insulating layer and a deuterium barrier layer to the semiconductor substrate, and are preferably positioned in contact with a shallow trench oxide which will allow diffusion of deuterium to the semiconductor devices. The deuterium ingress paths extend through thin film layers from the top or through the silicon substrate.
    Type: Grant
    Filed: January 21, 2000
    Date of Patent: February 18, 2003
    Assignee: International Business Machines Corporation
    Inventors: Jay Burnham, Eduard A. Cartier, Thomas G. Ference, Steven W. Mittl, Anthony K. Stamper
  • Patent number: 6515350
    Abstract: A spacer film capable of protecting a gate stack in an integrated circuit wafer having a thin nucleation or seed layer of silicon nitride on the gate stack and a second, primary layer of silicon nitride on the nucleation layer. The spacer is formed using a BTBAS precursor and the primary layer may have carbon incorporated therein. The spacer film is able to protect a gate stack or other semiconductor device from corrosion by chemicals used in certain etching techniques. The invention further includes various semiconductor devices utilizing the new spacer film.
    Type: Grant
    Filed: February 22, 2000
    Date of Patent: February 4, 2003
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Patent number: 6514862
    Abstract: A chemical mechanical polishing slurry includes an additive of a quaternary ammonium compound having a form of {N—(R1R2R3R4)}+X−, in which R1, R2, R3, and R4 are radicals, and X− is an anion derivative including halogen elements. Preferably, the quaternary ammonium compound is one of [(CH3)3NCH2CH2OH]Cl, [(CH3)3NCH2CH2OH]l, [(CH3)3NCH2CH2OH]Br, [(CH3)3NCH2CH2OH]CO3, and mixtures thereof. The slurry may further include a pH control agent formed of a base such as KOH, NH4OH, and (CH3)4NOH, and an acid such as HCl, H2SO4, H3PO4, and HNO3. Also, the pH control agent can include [(CH3)3NCH2CH2OH]OH. The slurry may further include a surfactant such as cetyldimethyl ammonium bromide, cetyldimethyl ammonium bromide, polyethylene oxide, polyethylene alcohol or polyethylene glycol.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: February 4, 2003
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Jae-dong Lee, Jong-won Lee, Bo-un Yoon, Sang-rok Hah
  • Publication number: 20030006487
    Abstract: An element isolation structure of a semiconductor device that prevents travel of ions through an isolation film at the time of ion implantation during an element formation step, and also prevents break of the isolation film in the event of misalignment of a contact hole during an interconnection formation step are provided. The semiconductor device includes an isolation film formed on a main surface of a silicon substrate, and a protective nitride film formed on the isolation film. An upper surface of the isolation film is higher in level than the main surface of the silicon substrate. The protective nitride film is positioned, as seen from above, inner than a portion of the isolation film exposed on the main surface of the silicon substrate.
    Type: Application
    Filed: July 8, 2002
    Publication date: January 9, 2003
    Applicant: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tsuyoshi Sugihara
  • Patent number: 6504235
    Abstract: A semiconductor passivation technique uses a plasma enhanced chemical vapor deposition (PECVD) process to produce a silicon-rich nitride film as a passivation layer on a Group III-V semiconductor device. The silicon-rich film has a nitrogen to silicon ratio of about 0.7, has a relatively high index of refraction of, for example, approximately 2.4, is compressively stressed, and is very low in hydrogen and oxygen content.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: January 7, 2003
    Assignee: Hughes Electronics Corporation
    Inventors: Adele E. Schmitz, Julia J. Brown
  • Publication number: 20030001243
    Abstract: A method for monitoring the uniformity or quality of ultra-thin silicon nitride film uses wet re-oxidation of thin nitride to monitor its thickness variation to evaluate its quality. For nitride films with similar thicknesses, thinner oxide implies superior quality of the original nitride film and vice versa. The method of the present invention extends the use of ellipsometer measurement tools to the sub 10 Å level.
    Type: Application
    Filed: June 19, 2001
    Publication date: January 2, 2003
    Inventors: Yung-Hsien Wu, Chung Pei Chao, Chia-Lin Ku
  • Patent number: 6483173
    Abstract: Low k dielectrics such as black diamond have a tendency to delaminate from the edges of a silicon wafer, causing multiple problems, including blinding of the alignment mark. This problem has been overcome by inserting a layer of silicon nitride between the low k layer and the substrate. A key requirement is that said layer of silicon nitride be under substantial compressive stress (at least 5×109 dynes/cm2). In the case of a layer of black diamond, on which material the invention is particularly focused, a nucleating layer is also inserted between the silicon nitride and the black diamond. A process for laying down the required layers is described together with an example of applying the invention to a dual damascene structure.
    Type: Grant
    Filed: December 31, 2001
    Date of Patent: November 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Lain-Jong Li, Shwangming Jeng, Syun-Ming Jang
  • Publication number: 20020163062
    Abstract: A structure/method for reducing the stress between a dielectric, passivation layer and a metallic structure comprising coating the metallic structure with a low stress modulus buffer material, and forming the dielectric passivation layer covering the low stress modulus buffer material. The low stress modulus buffer material is composed of a layer of a polymeric material selected from at least one of the group consisting of a hydrogen/alkane SQ (SilsesQuioxane) resin, polyimide, and a polymer resin. The dielectric, passivation layer is composed of at least one layer of a material selected from at least one of the group consisting of silicon oxide and silicon nitride. A protective layer is formed over the dielectric, passivation layer. The low stress modulus buffer material has a thermal coefficient of expansion between that of the metallic structure and that of the dielectric passivation layer.
    Type: Application
    Filed: February 26, 2001
    Publication date: November 7, 2002
    Applicant: International Business Machines Corporation
    Inventors: Ping-Chuan Wang, Robert Daniel Edwards, John C. Malinowski, Vidhya Ramachandran, Steffen Kaldor