Insulating Layer Of Silicon Nitride Or Silicon Oxynitride Patents (Class 257/649)
  • Patent number: 7400031
    Abstract: A CMOS device comprising a FinFET comprises at least one fin structure comprising a source region; a drain region; and a channel region comprising silicon separating the source region from the drain region. The FinFET further comprises a gate region comprising a N+ polysilicon layer on one side of the channel region and a P+ polysilicon layer on an opposite side of the channel region, thereby, partitioning the fin structure into a first side and a second side, respectively. The channel region is in mechanical tension on the first side and in mechanical compression on the second side. The FinFET may comprise any of a nFET and a pFET, wherein the nFET comprises a N-channel inversion region in the first side, and wherein the pFET comprises a P-channel inversion region in the second side. The CMOS device may further comprise a tensile film and a relaxed film on opposite sides of the fin structure adjacent to the source and drain regions, and an oxide cap layer over the fin structure.
    Type: Grant
    Filed: September 19, 2005
    Date of Patent: July 15, 2008
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 7397073
    Abstract: The present invention provides a semiconducting device including a gate dielectric atop a semiconducting substrate, the semiconducting substrate containing source and drain regions adjacent the gate dielectric; a gate conductor atop the gate dielectric; a conformal dielectric passivation stack positioned on at least the gate conductor sidewalls, the conformal dielectric passivation stack comprising a plurality of conformal dielectric layers, wherein no electrical path extends entirely through the stack; and a contact to the source and drain regions, wherein the discontinuous seam through the conformal dielectric passivation stack substantially eliminates shorting between the contact and the gate conductor. The present invention also provides a method for forming the above-described semiconducting device.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: July 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: Brett H. Engel, Stephen M. Lucarini, John D. Sylvestri, Yun-Yu Wang
  • Publication number: 20080142887
    Abstract: An object of the present invention is to apply an insulating film of cure and high quality that is suitably applicable as gate insulating film and protective film to a technique that the insulating film is formed on the glass substrate under a temperature of strain point or lower, and to a semiconductor device realizing high efficiency and high reliability by using it. In a semiconductor device of the present invention, a gate insulating film of a field effect type transistor with channel length of from 0.35 to 2.5 ?m in which a silicon nitride film is formed over a crystalline semiconductor film through a silicon oxide film, wherein the silicon nitride film contains hydrogen with the concentration of 1×1021/cm3 or less and has characteristic of an etching rate of 10 nm/min or less with respect to mixed solution containing an ammonium hydrogen fluoride (NH4HF2) of 7.13% and an ammonium fluoride (NH4F) of 15.4%.
    Type: Application
    Filed: January 4, 2008
    Publication date: June 19, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toru Takayama, Shunpei Yamazaki, Kengo Akimoto
  • Patent number: 7388278
    Abstract: The present invention provides a semiconductor structure that includes a high performance field effect transistor (FET) on a semiconductor-on-insulator (SOI) in which the insulator thereof is a stress-inducing material of a preselected geometry. Such a structure achieves performance enhancement from uniaxial stress, and the stress in the channel is not dependent on the layout design of the local contacts. In broad terms, the present invention relates to a semiconductor structure that comprises an upper semiconductor layer and a bottom semiconductor layer, wherein said upper semiconductor layer is separated from said bottom semiconductor layer in at least one region by a stress-inducing insulator having a preselected geometric shape, said stress-inducing insulator exerting a strain on the upper semiconductor layer.
    Type: Grant
    Filed: March 24, 2005
    Date of Patent: June 17, 2008
    Assignee: International Business Machines Corporation
    Inventors: Judson R. Holt, Oiging C. Ouyang
  • Publication number: 20080128871
    Abstract: A silicon nitride thin film formation apparatus is provided for stationary and moving substrates and a process for forming such films. The process provides high uniformity of film thickness and film properties as well as a high deposition rate. The film properties are adequate for application as an antireflection layer or passivation layer in solar cell devices or as dielectric layer in thin film transistors. The apparatus includes a number of metal filaments. In the space within the formation apparatus opposite to the substrate with respect to the filaments, a gas dosage system is arranged at a predetermined distance of the filaments. The film formation apparatus for stationary substrates also contains a shutter to control the starting and ending conditions for film formation and to control the film thickness.
    Type: Application
    Filed: March 3, 2005
    Publication date: June 5, 2008
    Inventors: Rudolf Emmanuel Isidore Schropp, Catharina Henriette Maria Van Der Werf, Bernd Stannowski
  • Publication number: 20080122045
    Abstract: A high tensile stress capping layer on Cu interconnects in order to reduce Cu transport and atomic voiding at the Cu/dielectric interface. The high tensile dielectric film is formed by depositing multiple layers of a thin dielectric material, each layer being under approximately 50 angstroms in thickness. Each dielectric layer is plasma treated prior to depositing each succeeding dielectric layer such that the dielectric cap has an internal tensile stress.
    Type: Application
    Filed: November 29, 2006
    Publication date: May 29, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chih-Chao Yang, Haining Yang, Keith Kwong Hon Wong
  • Publication number: 20080122046
    Abstract: A semiconductor device manufacturing method capable of making in-plane temperature distribution on a wafer uniform at heat treatment time. Before heat treatment is performed by irradiating the wafer with lamp light from the side of a device formed area where semiconductor devices are to be formed, an SiN film with certain thickness the reflection factor of which is equal to the average reflection factor of the device formed area is formed in an edge portion outside the device formed area. By doing so, reflection factors on the surface of the wafer irradiated with lamp light can be made uniform and uniform temperature distribution on the wafer can be obtained at heat treatment time. As a result, in-plane variations in the characteristics of semiconductor devices on the wafer can be made small and high-quality semiconductor devices can be manufactured.
    Type: Application
    Filed: January 22, 2008
    Publication date: May 29, 2008
    Applicant: FUJITSU LIMITED
    Inventors: Takae Sukegawa, Ryou Nakamura
  • Patent number: 7372107
    Abstract: A semiconductor-on-insulator structure includes a substrate and a buried insulator stack overlying the substrate. The buried insulator stack includes a first dielectric layer and a recess-resistant layer overlying the first dielectric layer. A second dielectric layer can overlie the recess-resistant layer. A semiconductor layer overlying the buried insulator stack. Active devices, such as transistors and diodes, can be formed in the semiconductor layer.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: May 13, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yee-Chia Yeo, Chenming Hu
  • Patent number: 7358595
    Abstract: Disclosed is a method for fabricating a MOS transistor. The present method includes forming a buffer layer pattern including nitrogen on the semiconductor substrate; forming a gate insulating layer and a gate electrode on the exposed substrate surface; forming a LDD region in the substrate under the buffer pattern; forming a spacer on a top surface of the buffer pattern and sidewalls of the gate electrode; and forming a source/drain region in the substrate under the buffer pattern.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: April 15, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Eun Jong Shin
  • Publication number: 20070284702
    Abstract: A semiconductor device, including an interlayer dielectric layer having a bonding pad and a fuse on a semiconductor substrate, the interlayer dielectric layer having a pad opening and a fuse opening exposing the bonding pad and the fuse, an organic passivation layer on the interlayer dielectric layer, and a fuse passivation layer covering the organic passivation layer, a side surface of the pad opening, a side surface of the fuse opening, and a bottom surface of the fuse opening.
    Type: Application
    Filed: April 26, 2007
    Publication date: December 13, 2007
    Inventor: Gyong-Sub Im
  • Patent number: 7300891
    Abstract: A method and system are described for increasing the tensile stress in thin films formed on a substrate, such as silicon nitride films. The thin film may be a planar film, or a non-planar film, such as a nitride film formed over a NMOS gate. The thin film is exposed to electro-magnetic (EM) radiation, such as EM radiation having a wavelength component less than about 500 nm. The EM source can include a multi-frequency source of radiation. Additionally, the source of radiation is collimated in order to selectively treat regions of a non-planar film.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: November 27, 2007
    Assignee: Tokyo Electron, Ltd.
    Inventors: Igeta Masonobu, Cory Wajda, Gert Leusink
  • Patent number: 7301219
    Abstract: An asymmetrically doped memory cell has first and second N+ doped junctions on a P substrate. A composite charge trapping layer is disposed over the P substrate and between the first and the second N+ doped junctions. A N? doped region is positioned adjacent to the first N+ doped junction and under the composite charge trapping layer. A P? doped region is positioned adjacent to the second N+ doped junction and under the composite charge trapping layer. The asymmetrically doped memory cell will store charges at the end of the composite charge trapping layer that is above the P? doped region. The asymmetrically doped memory cell can function as an electrically erasable programmable read only memory cell, and is capable of multiple level cell operations. A method for making an asymmetrically doped memory cell is also described.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: November 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Tzu-Hsuan Hsu, Yen-Hao Shih, Ming-Hsiu Lee
  • Patent number: 7265066
    Abstract: A method and system are described for increasing the tensile stress in thin films formed on a substrate, such as silicon nitride films. The thin film may be a planar film, or a non-planar film, such as a nitride film formed over a NMOS gate. The thin film is exposed to collimated electro-magnetic (EM) radiation to anisotropically expose the film. The EM radiation can have a component having a wavelength less than about 500 nm. The EM source can include a multi-frequency source of radiation.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: September 4, 2007
    Assignee: Tokyo Electron, Ltd.
    Inventors: Igeta Masonobu, Cory Waida, Gert Leusink
  • Publication number: 20070200203
    Abstract: A semiconductor device in which selectivity in epitaxial growth is improved. There is provided a semiconductor device comprising a gate electrode formed over an Si substrate, which is a semiconductor substrate, with a gate insulating film therebetween and an insulating layer formed over sides of the gate electrode and containing a halogen element. With this semiconductor device, a silicon nitride film which contains the halogen element is formed over the sides of the gate electrode when an SiGe layer is formed over the Si substrate. Therefore, the SiGe layer epitaxial-grows over the Si substrate with high selectivity. As a result, an OFF-state leakage current which flows between, for example, the gate electrode and source/drain regions is suppressed and a manufacturing process suitable for actual mass production is established.
    Type: Application
    Filed: March 13, 2007
    Publication date: August 30, 2007
    Applicant: FUJITSU LIMITED
    Inventors: Masahiro Fukuda, Yosuke Shimamune, Masaaki Koizuka, Katsuaki Ookoshi
  • Patent number: 7179749
    Abstract: A method for fabricating a semiconductor device where a critical dimension in a peripheral region is decreased. The method includes the steps of: forming a silicon nitride layer on a substrate including a cell region and a peripheral region; forming a silicon oxynitride layer on the silicon nitride layer; forming a line-type photoresist pattern on the silicon oxynitride layer such that the photoresist pattern in the cell region has a width larger than that of a final pattern structure and the photoresist pattern in the peripheral region has a width that reduces an incidence of pattern collapse; etching the silicon oxynitride layer and the silicon nitride layer until widths of a remaining silicon oxynitride layer and a remaining silicon nitride layer are smaller than the width of the photoresist pattern used as an etch mask through suppressing generation of polymers; and over-etching the remaining silicon nitride layer.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: February 20, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kyung-Won Lee, Ki-Won Nam
  • Patent number: 7166891
    Abstract: A trench-structure semiconductor device is highly reliable and has an increased resistance to hydrofluoric acid cleaning or other cleaning of an insulation film between a gate electrode, which is embedded in a trench, and source electrode. In a trench-structure semiconductor device, a silicon nitride film is over the gate electrode and embedded up to a point close to the open edge on the inside of trench. A source electrode is formed in contact with the surface of the silicon nitride film and the surface of the source region.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: January 23, 2007
    Assignee: Rohm Co., Ltd.
    Inventor: Kenichi Yoshimochi
  • Patent number: 7122878
    Abstract: A new method is provided for the creation of a high-reliability metal capacitor as part of back-end processing. A first layer of metal interconnect is created, ac contact point is provided in the surface of the first layer of interconnect aligned with which a capacitor is to be created. A copper interconnect is formed overlying the contact point using TaN for the bottom plate, a high dielectric-constant dielectric material capacitor and using TaN for the top plate. The deposited layers are patterned and etched, a spacer layer is formed over sidewalls of the capacitor to prevent capacitor sidewall leakage. Top interconnect metal is then formed by first depositing a layer of etch stop material for further interconnection of the capacitor and the semiconductor devices provided in the underlying substrate.
    Type: Grant
    Filed: June 2, 2005
    Date of Patent: October 17, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chi-Feng Huang, Chun-Hon Chen, Shy Chy Wong, Chih Hsien Lin
  • Patent number: 7115974
    Abstract: In the preferred embodiment, a gate dielectric and an electrode are formed on a substrate. A pair of spacers is formed along opposite sidewalls of the gate electrode and the gate dielectric. Spacers are preferably formed of SiCO based material or SiCN based material. The source and drain are then formed. A contact etch stop (CES) layer is formed on the source/drain regions and the spacers. The CES layer is preferably formed of SiCO based material or SiCN based material. An Inter-Level Dielectric (ILD) is then formed on the CES layer.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: October 3, 2006
    Assignee: Taiwan Semiconductor Manfacturing Company, Ltd.
    Inventors: Zhen-Cheng Wu, Hung Chun Tsai, Da-Wen Lin, Weng Chang, Shwang-Ming Cheng, Mong Song Liang
  • Patent number: 7112849
    Abstract: Disclosed herein are various methods for preventing bending of a patterned SOI layer during trench sidewall oxidation, the methods comprising providing a patterned SOI layer having at least one trench, said patterned SOI layer disposed upon an underlying buried silicon oxide layer; and blocking diffusion of oxygen between said patterned SOI and buried silicon oxide layer.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: September 26, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Dong-Ho Ahn, Ho-Kyu Kang, Geum-Jong Bae
  • Patent number: 7109559
    Abstract: A method for forming a gate dielectric for an integrated circuit device. In an exemplary embodiment of the invention, the method includes forming an initial oxynitride layer upon a substrate material, the oxynitride layer having an initial physical thickness. The initial oxynitride layer is then subjected to a plasma nitridation, the plasma nitridation resulting in final oxynitride layer having a final physical thickness.
    Type: Grant
    Filed: November 5, 2004
    Date of Patent: September 19, 2006
    Assignee: International Business Machines Corporation
    Inventors: Mukesh V. Khare, Christopher P. D'Emic, Thomas T. Hwang, Paul C. Jamison, James J. Quinlivan, Beth A. Ward
  • Patent number: 7109557
    Abstract: A method of forming a microelectronic structure and its associated structures is described. In one embodiment, a substrate is provided with a sacrificial layer disposed on a hard mask layer, and a metal layer disposed in a trench of the substrate and on the sacrificial layer. The metal layer is then removed at a first removal rate wherein a dishing is induced on a top surface of the metal layer until the sacrificial layer is exposed, and simultaneously removing the metal layer and the sacrificial layer at a second removal rate without substantially removing the hard mask.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: September 19, 2006
    Assignee: Intel Corporation
    Inventors: Chris E. Barns, Kevin P. O'Brien, Anne E. Miller
  • Patent number: 7105895
    Abstract: A method for producing an insulating or barrier layer (FIG. 1B), useful for semiconductor devices, comprises depositing a layer of silicon and at least one additional element on a silicon substrate whereby said deposited layer is substantially free of defects such that epitaxial silicon substantially free of defects can be deposited on said deposited layer. Alternatively, a monolayer of one or more elements, preferably comprising oxygen, is absorbed on a silicon substrate. A plurality of insulating layers sandwiched between epitaxial silicon forms a barrier composite. Semiconductor devices are disclosed which comprise said barrier composite.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: September 12, 2006
    Assignee: Nanodynamics, Inc.
    Inventors: Chia-Gee Wang, Raphael Tsu, John Clay Lofgren
  • Patent number: 7095095
    Abstract: The invention includes a semiconductor construction. The construction has a semiconductor material die with a front surface, a back surface in opposing relation to the front surface, and a thickness of less than 400 microns between the front and back surfaces. The construction also has circuitry associated with the die and over the front surface of the die, and a layer touching the back surface of the die. The layer can correspond to getter-inducing material and/or to a stress-inducing material. The layer can have a composition which includes silicon dioxide and/or silicon nitride. The composition can include one or more hydrogen isotopes, and the hydrogen isotopes can have a higher abundance of deuterium than the natural abundance of deuterium.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: August 22, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Tongbi Jiang, Zhiping Yin
  • Patent number: 7084508
    Abstract: A lower portion of an interlayer insulating film is formed contiguous with a semiconductor wafer. The lower portion has a high impurity concentration and a high etching rate. An upper portion of an interlayer insulating film is formed over the lower portion apart from the semiconductor wafer. The upper portion has a low impurity concentration and a low etching rate. A plurality of contact holes are formed through the interlayer insulating film by anisotropic etching. The bottom portion of each contact hole is expanded by isotropic etching, and a contact is formed in the contact hole. Thus, a satisfactory contact is formed in a hole of a large aspect ratio.
    Type: Grant
    Filed: August 7, 2002
    Date of Patent: August 1, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Takahisa Eimori
  • Patent number: 7078815
    Abstract: A semiconductor integrated circuit device has a semiconductor substrate, an interlayer insulating film including SiOF films formed on a main surface of the semiconductor substrate, a wiring groove formed by dry etching of the interlayer insulating film, and a Cu wiring buried in the wiring groove by a Damascene method, wherein a silicon oxynitride film is provided between a silicon nitride film serving as an etching stopper layer for the dry etching and the SiOF film, so that free F generated in the SiOF film is trapped with the silicon oxynitride film.
    Type: Grant
    Filed: February 14, 2005
    Date of Patent: July 18, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Tsuyoshi Tamaru, Kazutoshi Oomori, Noriko Miura, Hideo Aoki, Takayuki Oshima
  • Patent number: 7071538
    Abstract: A semiconductor device includes a substrate that further includes source, drain and channel regions. The device may further include a bottom oxide layer formed upon the substrate, a charge storage layer formed upon the bottom oxide layer, and a steam oxide layer thermally grown upon the charge storage layer. The device may also include an alumina oxide layer formed upon the steam oxide layer and a gate electrode formed upon the alumina oxide layer.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: July 4, 2006
    Assignee: Spansion,LLC
    Inventors: Hidehiko Shiraiwa, Harpreet K. Sachar, Mark Randolph, Wei Zheng
  • Patent number: 7061076
    Abstract: An apparatus and method for providing three-dimensional carrier mounting of one or more electronic components. In accordance with one embodiment, the device mounting apparatus of the present invention includes an elastically resilient plastic substrate having component mounting surfaces in at least two dimensions. At least one press-fit component insertion cavity is disposed within the component mounting surfaces to provide compressive retention of the electronic component when press-fit into the cavity. Preferably, the cavity has a depth such that when the component is press-fit, it does not extend above the surface plane of the cavity. The insertion cavity is further characterized as including at least one conductive trace disposed on an inner surface of said insertion cavity and positioned on the insertion cavity surface such that the conductive trace contacts at least one lead of the electronic device retained within the insertion cavity.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: June 13, 2006
    Assignee: Honeywell International Inc.
    Inventor: Stephen R. Shiffer
  • Patent number: 7057262
    Abstract: An optical device having a high reflector tunable stress coating includes a micro-electromechanical system (MEMS) platform, a mirror disposed on the MEMS platform, and a multiple layer coating disposed on the mirror. The multiple layer coating includes a layer of silver (Ag), a layer of silicon dioxide (SiO2) deposited on the layer of Ag, a layer of intrinsic silicon (Si) deposited on the layer of SiO2, and a layer of silicon oxynitride (SiOxNy) deposited on the layer of Si. The concentration of nitrogen is increased and/or decreased to tune the stress (e.g., tensile, none, compressive).
    Type: Grant
    Filed: February 18, 2004
    Date of Patent: June 6, 2006
    Assignee: Intel Corporation
    Inventor: Michael Goldstein
  • Patent number: 7042049
    Abstract: A new method of forming a composite etching stop layer is described. An etching stop layer is deposited on a substrate wherein the etching stop layer is selected from the group consisting of: silicon carbide, silicon nitride, SiCN, SiOC, and SiOCN. A TEOS oxide layer is deposited by plasma-enhanced chemical vapor deposition overlying the etching stop layer. The composite etching stop layer has improved moisture resistance, better etching selectivity, and lower dielectric constant than other etching stop layers.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: May 9, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lain-Jong Li, Tien-I Bao, Shwang-Ming Jeng, Syun-Ming Jang, Jun-Lung Huang, Jeng-Cheng Liu
  • Patent number: 7038304
    Abstract: A semiconductor memory device includes: a silicon substrate having a main surface; n+ diffusion layers formed on the main surface of the silicon substrate distanced from each other; HDP oxide films formed on the n+ diffusion layers and deposited on the main surface so as to protrude above the main surface; an ONO film (a stacked film of an oxide film, a nitride film, and an oxide film) as a charge holding layer formed between the HDP oxide films; and a gate electrode (a polysilicon film and a doped polysilicon film) extending over the ONO film and the HDP oxide films.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: May 2, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Naoki Tsuji
  • Patent number: 7038291
    Abstract: Provided is a semiconductor device and a method of fabricating the semiconductor device, in which electric characteristics of a gate insulating film thereof in the vicinity of an element isolation region are equal to electric characteristics of the gate insulating film at portions other than the vicinity of the element isolation region. A semiconductor device of the present invention includes a semiconductor substrate, shallow trench isolation regions formed in the semiconductor substrate, source and drain regions formed in the semiconductor substrate, the source and drain regions sandwiching a surface of the semiconductor substrate to define a channel, gate insulating films having equal thicknesses in a central portion of the channel and in portions contacting with on the shallow trench isolation regions, and gate electrodes formed on the gate insulating films.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: May 2, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akira Goda, Mitsuhiro Noguchi, Hiroaki Hazama
  • Patent number: 7023064
    Abstract: An integrated circuit is provided including an FET gate structure formed on a substrate. This structure includes a gate dielectric on the substrate, and a metal nitride layer overlying the gate dielectric and in contact therewith. This metal nitride layer is characterized as MNx, where M is one of W, Re, Zr, and Hf, and x is in the range of about 0.7 to about 1.5. Preferably the layer is of WNx, and x is about 0.9. Varying the nitrogen concentration in the nitride layer permits integration of different FET characteristics on the same chip. In particular, varying x in the WNx layer permits adjustment of the threshold voltage in the different FETs. The polysilicon depletion effect is substantially reduced, and the gate structure can be made thermally stable up to about 1000° C.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: April 4, 2006
    Assignee: International Business Machines Corporation
    Inventors: Dae-Gyu Park, Cyril Cabral, Jr., Oleg Gluschenkov, Hyungjun Kim
  • Patent number: 7019385
    Abstract: There are disclosed TFTs having improved reliability. An interlayer dielectric film forming the TFTs is made of a silicon nitride film. Other interlayer dielectric films are also made of silicon nitride. The stresses inside the silicon nitride films forming these interlayer dielectric films are set between ?5×109 and 5×109 dyn/cm2. This can suppress peeling of the interlayer dielectric films and difficulties in forming contact holes. Furthermore, release of hydrogen from the active layer can be suppressed. In this way, highly reliable TFTs can be obtained.
    Type: Grant
    Filed: April 11, 1997
    Date of Patent: March 28, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hongyong Zhang, Satoshi Teramoto
  • Patent number: 7009226
    Abstract: Carrier mobility in transistor channel regions is increased by depositing a conformal stressed liner. Embodiments include forming a silicon oxynitride layer on the stressed liner to reduce or eliminate deposition surface pattern sensitivity during gap filling, and in-situ SACVD of silicon oxide gap fill directly on the stressed liner with reduced pattern sensitivity. Embodiments also include the use of Si—Ge substrates.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: March 7, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Sey-Ping Sun
  • Patent number: 7005724
    Abstract: The present invention provides a semiconductor device, a method of manufacture therefor, and an integrated circuit including the aforementioned semiconductor device. The semiconductor device, in accordance with the principles of the present invention, may include a substrate, and a graded capping layer located over the substrate, wherein the graded capping layer includes at least two different layers, wherein first and second layers of the at least two different layers have different stress values.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: February 28, 2006
    Assignee: Agere Systems Inc.
    Inventors: Nace Rossi, Alvaro Maury
  • Patent number: 6992370
    Abstract: According to one embodiment, a memory cell structure comprises a semiconductor substrate, a first silicon oxide layer situated over the semiconductor substrate, a charge storing layer situated over the first silicon oxide layer, a second silicon oxide layer situated over the charge storing layer, and a gate layer situated over the second silicon oxide layer. In the exemplary embodiment, the charge storing layer comprises silicon nitride having reduced hydrogen content, e.g., in the range of about 0 to 0.5 atomic percent. As a result, the reduced hydrogen content reduces the charge loss in the charge storing layer. The reduced charge loss in the charge storing layer has the benefit of reducing threshold voltage shifts, programming data loss, and programming capability loss in the memory device, thereby improving memory device performance.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: January 31, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: George J. Kluth, Robert B. Clark-Phelps, Joong S. Jeon, Huicai Zhong, Arvind Halliyal, Mark T. Ramsbey, Robert B. Ogle, Jr., Kuo T. Chang, Wenmei Li
  • Patent number: 6977407
    Abstract: A method of providing even nucleation between silicon and oxide surfaces for growing uniformly thin silicon nitride layers used in semiconductor devices. First, a nonconductive nitride-nucleation enhancing monolayer is formed over a semiconductor assembly having both nitridation receptive and resistive materials. For purposes of the present invention, a nitride-nucleation enhancing monolayer is a material that will readily accept the bonding of nitrogen atoms to the material itself. Next, a silicon nitride layer is formed over the nonconductive nitride-nucleation enhancing monolayer. The nonconductive nitride-nucleation enhancing monolayer provides even nucleation over both the nitridation receptive material and the nitridation resistive material for silicon nitride, thereby allowing for the growth of a uniformly thin nitride layer.
    Type: Grant
    Filed: December 11, 2003
    Date of Patent: December 20, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Er-Xuan Ping
  • Patent number: 6978434
    Abstract: A wiring structure of a semiconductor device, includes a wiring layer formed on an insulating film, a width (W) of each wire in the wiring layer and a thickness (H) of the insulating film satisfying “W/H<1” a length (L) of each wiring in the wiring layer being equal to or longer than 1 mm.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: December 20, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Naoyuki Shigyo, Tetsuya Yamaguchi
  • Patent number: 6974989
    Abstract: According to one exemplary embodiment, a structure comprises a substrate. The structure further comprises at least one memory cell situated on the substrate. The structure further comprises a first interlayer dielectric layer situated over the at least one memory cell and over the substrate. The structure further comprises an oxide cap layer situated on the first interlayer dielectric layer. According to this exemplary embodiment, the structure further comprises an etch stop layer comprising TCS nitride situated on the oxide cap layer, where the etch stop layer blocks UV radiation. The structure further comprises a second interlayer dielectric layer situated on the etch stop layer. The structure may further comprise a trench situated in the second interlayer dielectric layer and the etch stop layer, where the trench is filled with copper. The structure may further comprise an anti-reflective coating layer situated on the second interlayer dielectric layer.
    Type: Grant
    Filed: May 6, 2004
    Date of Patent: December 13, 2005
    Assignee: Spansion LLC
    Inventors: Cinti X. Chen, Boon-Yong Ang, Hajime Wada, Sameer S. Haddad, Inkuk Kang
  • Patent number: 6960794
    Abstract: A thin film transistor with a channel less than 100 angstroms thick, preferably less than 80 angstroms thick, preferably less than 60 angstroms thick. The very thin channel reduces variability of threshold voltage from one TFT to the next. This is particularly advantageous for TFT memory arrays. It is possible that an extremely thin channel restricts the size of grains, forcing many small grains to be formed.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: November 1, 2005
    Assignee: Matrix Semiconductor, Inc.
    Inventors: Andrew J. Walker, S. Brad Herner, Maitreyee Mahajani, En-Hsing Chen, Roy E. Scheuerlein, Sucheta Nallamothu, Mark Clark
  • Patent number: 6949833
    Abstract: The invention offers a structure that includes a substrate with a top surface and a bottom surface, an etched dielectric layer having sidewalls and an upper surface, wherein the etched dielectric layer with a thickness of v, is positioned upon a first portion of the top surface of the substrate but not positioned upon a second portion of the top surface of the substrate having a width equal to x, an atomic layer deposited (ALD) film with a thickness of y, positioned upon the upper surface of the etched dielectric layer, the sidewalls of the etched dielectric layer, and the second portion of the top surface of the substrate, and a trench formed by the atomic layer with a width equal to x?2y.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: September 27, 2005
    Assignee: Seagate Technology LLC
    Inventors: William Jude O'Kane, Robert William Lamberton
  • Patent number: 6943432
    Abstract: The invention includes a semiconductor construction comprising a semiconductor substrate, and a first layer comprising silicon and nitrogen over the substrate. A second layer comprising at least 50 weight% carbon is over and physically against the first layer, and a third layer consisting essentially of a photoresist system is over and physically against the second layer. The invention also includes methodology for forming the semiconductor construction.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: September 13, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Yoshiki Hishiro
  • Patent number: 6940152
    Abstract: A plurality of impurity diffusion layers working as bit lines are formed in surface portions of a semiconductor substrate, and a plurality of buried insulating films are formed above the plural impurity diffusion layers on the semiconductor substrate. Gate electrodes of memory devices include a plurality of first polysilicon films, which are formed between the buried insulating films with a trapping film formed below and have top faces at substantially the same level as top faces of the buried insulating films, and a second polysilicon film formed over the plural buried insulating films and the plural first polysilicon films for electrically connecting the plural first polysilicon films to one another.
    Type: Grant
    Filed: February 5, 2003
    Date of Patent: September 6, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Masatoshi Arai
  • Patent number: 6940151
    Abstract: A low-thermal budget, silicon-rich silicon nitride film may include a concentration of hydrogen in Si—H bonds being at least 1.5 times as great as a concentration of hydrogen in N—H bonds. The silicon nitride film suppresses boron diffusion in boron-doped devices when such devices are processed using high-temperature processing operations that conventionally urge boron diffusion. The low-thermal budget, silicon-rich silicon nitride film may be used to form spacers in CMOS devices, it may be used as part of a dielectric stack to prevent shorting in tightly packed SRAM arrays, and it may be used in BiCMOS processing to form a base nitride layer and/or nitride spacers isolating the base from the emitter. Furthermore the low-thermal budget, silicon-rich silicon nitride film may remain covering the CMOS structure while bipolar devices are being formed, as it suppresses the boron diffusion that results in boron penetration and boron-doped poly depletion.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: September 6, 2005
    Assignee: Agere Systems, Inc.
    Inventors: Michael Scott Carroll, Yi Ma, Minesh Amrat Patel, Peyman Sana
  • Patent number: 6921964
    Abstract: A semiconductor device includes a non-volatile memory transistor 100. An interlayer dielectric layer 40 is provided on a semiconductor layer 10 where the non-volatile memory transistor 100 is formed. The interlayer dielectric layer 40 is an insulation layer for electrically isolating a conductive layer 30 formed over the semiconductor layer 10 from the non-volatile memory transistor, and includes a layer 42 containing nitride.
    Type: Grant
    Filed: February 7, 2002
    Date of Patent: July 26, 2005
    Assignee: Seiko Epson Corporation
    Inventors: Tomoyuki Furuhata, Kotaro Misawa
  • Patent number: 6911707
    Abstract: An ultrathin gate dielectric having a graded dielectric constant and a method for forming the same are provided. The gate dielectric is believed to allow enhanced performance of semiconductor devices including transistors and dual-gate memory cells. A thin nitrogen-containing oxide, preferably having a thickness of less than about 10 angstroms, is formed on a semiconductor substrate. A silicon nitride layer having a thickness of less than about 30 angstroms may be formed over the nitrogen-containing oxide. The oxide and nitride layers are annealed in ammonia and nitrous oxide ambients, and the nitride layer thickness is reduced using a flowing-gas etch process. The resulting two-layer gate dielectric is believed to provide increased capacitance as compared to a silicon dioxide dielectric while maintaining favorable interface properties with the underlying substrate. In an alternative embodiment, a different high dielectric constant material is substituted for the silicon nitride.
    Type: Grant
    Filed: December 9, 1998
    Date of Patent: June 28, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Dim-Lee Kwong, H. Jim Fulford, Jr.
  • Patent number: 6903422
    Abstract: A semiconductor integrated circuit is disclosed, which includes a semiconductor substrate, a memory cell formed on the semiconductor substrate and having a first gate insulating layer of a stacked structure which includes a silicon nitride layer to become a charge storage layer, and a transistor formed on the semiconductor substrate and having a second gate insulating layer. Here, source and drain diffused layers of the memory cell are covered with a part of the first gate insulating layer, and metal silicide layers are formed on surfaces of source and drain diffused layers of the transistor.
    Type: Grant
    Filed: July 1, 2003
    Date of Patent: June 7, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akira Goda, Mitsuhiro Noguchi, Masayuki Tanaka, Shigehiko Saida
  • Patent number: 6894369
    Abstract: An ultra high-speed semiconductor device has a high-K dielectric gate insulator layer, wherein spread of impurities to a Si substrate from a gate electrode through the high-K dielectric gate insulator layer, and spread of oxygen and metallic elements from the high-K dielectric gate insulator layer to the Si substrate or the gate electrode are suppressed by arranging the high-K dielectric film sandwiched by nitrogen atomic layers on the Si substrate that is covered by an oxygen atomic layer.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: May 17, 2005
    Assignee: Fujitsu Limited
    Inventors: Kiyoshi Irino, Yusuke Morisaki, Yoshihiro Sugita, Yoshiaki Tanida, Yoshihisa Iba
  • Patent number: 6879020
    Abstract: Via-shaped copper interconnect lines (2) buried in an interlayer insulating film (8) are connected to gate interconnect lines (1) in the lowermost layer. A copper interconnect line (6) of a shield ring (5) is buried in the interlayer insulating film (8), closer to outside than the copper interconnect lines (2). A silicon nitride film (9) is provided on the via-shaped copper interconnect lines (2), on the copper interconnect line (6) of the shield ring (5), and on the interlayer insulating film (8). Provided on the silicon nitride film (9) is a silicon oxide film (10) which holds therein a fuse line (3) for connecting different ones of copper interconnect lines (2). The silicon oxide film (10) is also provided on the upper surfaces of the fuse line (3) and the aluminum interconnect line (7). A silicon nitride film (11) is provided on the silicon oxide film (10). The silicon nitride film (11) defined over the fuse line (3) is removed, thereby creating an opening (4).
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: April 12, 2005
    Assignee: Renesas Technology Corp.
    Inventor: Yasuo Yamaguchi
  • Patent number: 6867466
    Abstract: A memory device with an improved passivation structure. The memory device includes a semiconductor substrate with memory units thereon, an interconnect structure over the surface of the semiconductor substrate to connect with the memory units, and a passivation structure over the surface of the interconnect structure. The passivation structure comprises a dielectric layer over the surface of the interconnect structure and a silicon-oxy-nitride (SiOxNy) layer over the surface of the dielectric layer.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: March 15, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Hung-Yu Chiu, U-Way Tseng, Wen-Pin Lu, Cheng-Chen Huseh, Pei-Ren Jeng, Fu-Hsiang Hsu