On Patterned Or Topographical Surface (e.g., Wafer, Mask, Circuit Board) Patents (Class 356/237.4)
  • Patent number: 11971364
    Abstract: A semiconductor device inspection method includes: performing a first inspection irradiation on at least one portion in an area to be inspected; outputting first information indicating presence or absence of a defective portion in an entire of the area to be inspected, based on the first inspection irradiation; when it is determined that a second inspection irradiation is to be performed, performing the inspection irradiation on at least one portion in the area to be inspected of the semiconductor device to which the test signal is being input, the portion of the second inspection irradiation is different from the portion of the first inspection irradiation; and outputting second information indicating presence or absence of a defective portion in the entire of the area to be inspected, based on the second inspection irradiation.
    Type: Grant
    Filed: June 25, 2019
    Date of Patent: April 30, 2024
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventor: Shinsuke Suzuki
  • Patent number: 11961770
    Abstract: Some embodiments of the present disclosure relate to a processing tool. The tool includes a housing enclosing a processing chamber, and an input/output port configured to pass a wafer through the housing into and out of the processing chamber. A back-side macro-inspection system is arranged within the processing chamber and is configured to image a back side of the wafer. A front-side macro-inspection system is arranged within the processing chamber and is configured to image a front side of the wafer according to a first image resolution. A front-side micro-inspection system is arranged within the processing chamber and is configured to image the front side of the wafer according to a second image resolution which is higher than the first image resolution.
    Type: Grant
    Filed: November 4, 2021
    Date of Patent: April 16, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Han Lin, Chien-Fa Lee, Hsu-Shui Liu, Jiun-Rong Pai, Sheng-Hsiang Chuang, Surendra Kumar Soni, Shou-Wen Kuo, Wu-An Weng, Gary Tsai, Chien-Ko Liao, Ya Hsun Hsueh, Becky Liao, Ethan Yu, Ming-Chi Tsai, Kuo-Yi Liu
  • Patent number: 11940608
    Abstract: A dark field metrology device includes an objective lens arrangement and a zeroth order block to block zeroth order radiation. The objective lens arrangement directs illumination onto a specimen to be measured and collects scattered radiation from the specimen, the scattered radiation including zeroth order radiation and higher order diffracted radiation. The dark field metrology device is operable to perform an illumination scan to scan illumination over at least two different subsets of the maximum range of illumination angles; and simultaneously perform a detection scan which scans the zeroth order block and/or the scattered radiation with respect to each other over a corresponding subset of the maximum range of detection angles during at least part of the illumination scan.
    Type: Grant
    Filed: April 2, 2020
    Date of Patent: March 26, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Sebastianus Adrianus Goorden
  • Patent number: 11935770
    Abstract: Methods and apparatus bonding chiplets to substrates are provided herein. In some embodiments, a multi-chamber processing tool for processing a substrate includes: an equipment front end module (EFEM) having one or more loadports for receiving one or more types of substrates; and a plurality of automation modules coupled to each other and having a first automation module coupled to the EFEM, wherein each of the plurality of automation modules include a transfer chamber and one or more process chambers coupled to the transfer chamber, wherein the transfer chamber includes a buffer configured to hold a plurality of the one or more types of substrates, and wherein the transfer chamber includes a transfer robot configured to transfer the one or more types of substrates between the buffer, the one or more process chambers, and a buffer disposed in an adjacent automation module of the plurality of automation modules.
    Type: Grant
    Filed: February 17, 2021
    Date of Patent: March 19, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Randy A. Harris, Coby Scott Grove, Paul Zachary Wirth, Avinash Shantaram, Alpay Yilmaz, Amir Nissan, Vincent Dicaprio
  • Patent number: 11935771
    Abstract: Methods and apparatus for bonding chiplets to substrates are provided herein. In some embodiments, a multi-chamber processing tool for processing substrates, includes: a first equipment front end module (EFEM) having one or more loadports for receiving one or more types of substrates, a second EFEM having one or more loadports; and a plurality of atmospheric modular mainframes (AMMs) coupled to each other and having a first AMM coupled to the first EFEM and a last AMM coupled to the second EFEM, wherein each of the plurality of AMMs include a transfer chamber and one or more process chambers coupled to the transfer chamber, wherein the transfer chamber includes a buffer, and wherein the transfer chamber includes a transfer robot, the one or more process chambers, and a buffer disposed in an adjacent AMM of the plurality of AMMs.
    Type: Grant
    Filed: October 28, 2021
    Date of Patent: March 19, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Randy A. Harris, Coby Scott Grove, Paul Zachary Wirth, Avinash Shantaram, Alpay Yilmaz, Amir Nissan, Jitendra Ratilal Bhimjiyani, Niranjan Pingle, Vincent Dicaprio
  • Patent number: 11869784
    Abstract: A detection method includes: determining process data of a new process; according to the process data of the new process, detecting, by a first production system, whether a wafer carrier type of the new process matches an acceptable level of a corresponding process step or not and whether the new process matches a flag of the corresponding process step or not; if not, determining that the process data does not pass the detection and outputting first detection information; or if the wafer carrier type of the new process matches the acceptable level of the corresponding process step and the new process matches the flag of the corresponding process step, detecting, by a second production system, if the second production system detects a mismatch, determining that the process data does not pass the detection and outputting second detection information.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: January 9, 2024
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventors: Dandan Chen, MingHung Hsieh, Sheng-Hua Su
  • Patent number: 11852593
    Abstract: In an embodiment, a system includes: a broadband light source; a wafer with a first side facing the broadband light source; a first light sensor configured to detect reflected light from the broadband light source emanating from the first side; a second light sensor configured to detect emergent light emanating from a second side of the wafer opposite the first side, wherein the emergent light originates from the broadband light source; and a detector module configured to analyze the reflected light and the emergent light to identify wafer defects.
    Type: Grant
    Filed: July 8, 2021
    Date of Patent: December 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Nai-Han Cheng, Hsing-Piao Hsu
  • Patent number: 11854184
    Abstract: There are provided systems and methods of obtaining a segmented image of a semiconductor specimen, the image comprising first structural elements, obtaining a reference image of the semiconductor specimen, the reference image being based on design data and comprising second structural elements, determining, for at least one pair of elements including a first structural element and a corresponding second structural element, data Dspat informative of a spatial transformation required in order to match the elements of the pair in accordance with a matching criterion, and determining at least one of data informative of a defect in the first structural element and data informative of edge roughness of the first structural element using at least Dspat.
    Type: Grant
    Filed: January 14, 2021
    Date of Patent: December 26, 2023
    Assignee: Applied Materials Israel Ltd.
    Inventors: Shalom Elkayam, Shaul Cohen, Noam Zac
  • Patent number: 11837145
    Abstract: Provided is a display apparatus that superimposes an image on an observation target, and the display apparatus includes a display unit and a display controller. The display unit displays an object image. The display controller adjusts a display position of the object image in a display region of the display unit in a horizontal direction on a pixel line basis.
    Type: Grant
    Filed: October 18, 2019
    Date of Patent: December 5, 2023
    Assignee: SONY SEMICONDUCTOR SOLUTIONS CORPORATION
    Inventors: Takayuki Iyama, Hiroyuki Ozawa
  • Patent number: 11818471
    Abstract: An arrangement of one or more micro cameras are used in conjunction with computer controlled illumination to create a high-throughput microscope able to operate without the need of expensive scanning stages. A single unit contains a plurality of sensors, lenses tiled in such a way to cover a significant fraction of the desired field of view in a digital single acquisition. Mechanical stages and patterned illumination can then be used in conjunction with the system to enhanced the imaged depth of field, or create an acquisition stack to enhance the information acquired. Multiple units can be combined to obtain images of a single sample from different angles. The absence of mechanical stages makes the imaging system ideal for use in scenarios that require the sample to be in a climate and/or environmentally controlled chamber.
    Type: Grant
    Filed: October 13, 2021
    Date of Patent: November 14, 2023
    Inventors: Mark Harfouche, Roarke Horstmeyer, Robert Horstmeyer
  • Patent number: 11782990
    Abstract: A document processing apparatus including a processor configured to receive data including plural document sets each made up of predetermined plural pages; classify the data into sets; and in a case where the data includes an unclassified page, display a candidate for a set to which the unclassified page belongs.
    Type: Grant
    Filed: October 1, 2020
    Date of Patent: October 10, 2023
    Assignee: FUJIFILM Business Innovation Corp.
    Inventor: Hayato Kinoshita
  • Patent number: 11776859
    Abstract: Embodiments may include methods, systems, and apparatuses for care area based swath speed for throughput and sensitivity improvement. A method may comprise receiving scan region of a die. The scan region of the die may have a first care area at a controller configured to control an inspection tool, wherein the inspection tool includes a stage having the die disposed thereon. The method may then include scanning a first portion of the scan region at a fast feed rate and the first care area at a slow feed rate. Scanning may include emitting particles in a particle beam toward the die resulting an incidence on the die. Emitting may be performed using a particle emitter. Scanning may then include detecting a portion of particles reflected from the incidence. Detecting may be performed using a detector. Scanning may then include changing a position of the stage relative to the incidence.
    Type: Grant
    Filed: October 31, 2019
    Date of Patent: October 3, 2023
    Assignee: KLA CORPORATION
    Inventors: Anuj Pandey, Dong Chen, Kwok-Chuen Tan
  • Patent number: 11749546
    Abstract: Surface inspection apparatus includes stage for holding substrate, light source, scanning optical system for scanning light from the light source along first direction for plural times, stage scanning mechanism for scanning the stage in second direction intersecting with the first direction, and detector for detect scattered light from the substrate Inspection target region of the substrate is scanned by the light from the light source by an operation of the scanning optical system and the stage scanning mechanism. Chromatic aberration of the scanning optical system is corrected to fall within predetermined wavelength range. Fluctuation range of wavelength of the light from the light source is determined based on variation in total lighting time of the light source in scanning period of each light scanning operation along the first direction. The fluctuation range falls within the predetermined wavelength range.
    Type: Grant
    Filed: September 10, 2020
    Date of Patent: September 5, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Kohei Suzuki, Shinichiro Hirai, Kenichi Kobayashi
  • Patent number: 11742802
    Abstract: Various methods and circuital arrangements for biasing one or more gates of stacked transistors of an amplifier are possible where the amplifier is configured to operate in at least an active mode and a standby mode. Circuital arrangements can reduce bias circuit and stacked transistors standby current during operation in the standby mode and to reduce impedance presented to the gates of the stacked transistors during operation in the active mode while maintaining voltage compliance of the stacked transistors during both modes of operation.
    Type: Grant
    Filed: November 19, 2021
    Date of Patent: August 29, 2023
    Assignee: pSemi Corporation
    Inventors: Poojan Wagh, Kashish Pal, Robert Mark Englekirk, Tero Tapio Ranta, Keith Bargroff, Simon Edward Willard
  • Patent number: 11740186
    Abstract: An image acquiring method, an image acquiring apparatus and a wafer inspection apparatus are disclosed. A line scan camera is disposed above a transfer path of a wafer to continuously acquire partial images having a predetermined size by imaging a scan area including a portion of the transfer path, and the partial images are stored in an image storage unit. A partial image including a predetermined feature point among the partial images is detected by an image analysis unit, and an image merging unit merges a predetermined number of partial images including the detected partial image to acquire an entire image of the wafer. An image inspection unit analyzes the entire image of the wafer to detect defects in the wafer.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: August 29, 2023
    Assignee: SEMES CO., LTD.
    Inventor: Myoung Hoon Woo
  • Patent number: 11726134
    Abstract: Provided are a device and a method for monitoring substrates to determine a processed state of the substrates and inspecting presence of abnormality in the processed substrates. A device for inspecting substrates includes a substrate mounting part moving relative to the substrate and for mounting a substrate, a measurement part for monitoring the substrate, a control part configured to control a movement path of the measurement part so that at least some regions are monitored from positions different from each other with respect to a plurality of substrates, and an analysis part configured to determine presence of abnormality from monitoring information about the plurality of substrates.
    Type: Grant
    Filed: December 27, 2018
    Date of Patent: August 15, 2023
    Inventors: Gu Hyun Jung, Young Rok Kim, Se Yong Oh, Chul Joo Hwang, Jin An Jung
  • Patent number: 11703459
    Abstract: Various embodiments of systems and methods for calibrating wafer inspection system modules are disclosed herein. More specifically, the present disclosure provides various embodiments of systems and methods to calibrate the multiple spectral band values obtained from a substrate by a camera system included within a WIS module. In one embodiment, multiple spectral band values are red, green, and blue (RGB) values. As described in more detail below, the calibration methods disclosed herein may use a test wafer having a predetermined pattern of thickness changes or color changes to generate multiple spectral band offset values. The multiple spectral band offset values can be applied to the multiple spectral band values obtained from the substrate to generate calibrated RGB values, which compensate for spectral responsivity differences between camera systems included within a plurality of WIS modules.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: July 18, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Michael Carcasi, Hiroyuki Iwaki, Toyohisa Tsuruda, Masahide Tadokoro
  • Patent number: 11692944
    Abstract: An apparatus for inspecting plate-like bodies to inspect a side surface of a plate-like body with sheeted coating materials on a top side and bottom side of the plate-like body, is provided. The apparatus includes at least one light emitting unit configured to irradiate the side surface of the plate-like body with light. The apparatus includes at least one light receiving unit configured to receive light reflected with respect to the side surface of the plate-like body. The apparatus includes a conveying unit configured to move at least one among the light emitting unit and the plate-like body and to vary a position of the light on the side surface of the plate-like body, emitted by the light emitting unit.
    Type: Grant
    Filed: January 29, 2020
    Date of Patent: July 4, 2023
    Assignee: YOSHINO GYPSUM CO., LTD.
    Inventors: Yuichi Hirooka, Kenichi Hashikura
  • Patent number: 11686690
    Abstract: A method of inspection or metrology of four sides of a sample is disclosed. The method includes providing samples in a carrier at a first side of an imaging tool and moving the samples from the carrier to the imaging tool via a pick-and-place stage assembly. The method includes imaging first and second sides of the samples via first and second channels of the imaging tool and returning the samples to the carrier. The method includes rotating the carrier by 90 degrees and translating the carrier to an opposite side of the imaging tool and moving the samples individually from the carrier to the imaging tool. The method includes imaging a third and fourth side of the sample via the first and second channel of the imaging tool and returning the one or more samples from the imaging tool to the carrier.
    Type: Grant
    Filed: May 25, 2021
    Date of Patent: June 27, 2023
    Assignee: KLA Corporation
    Inventors: Bert Vangilbergen, Harry Paredaens, Maarten Brocatus, Foon Ming Chan
  • Patent number: 11675278
    Abstract: An exhaust stream monitoring system for a photolithography track of an IC fabrication process comprises a reaction chamber including a housing, an inflow port and an outflow port, the housing containing a thermal plate for heating a semiconductor process wafer for a predetermined amount of time. An influent pipe coupled to the inflow port supplies a photoresist adhesion promoter in a gaseous form to the reaction chamber. An effluent pipe coupled to the outflow port is operative to remove byproducts from the reaction chamber as an exhaust stream. At least one gas sensor manifold assembly is coupled to the effluent pipe for monitoring the exhaust stream from the reaction chamber to detect presence of one or more byproducts of a reaction between the photoresist adhesion promoter and the semiconductor process wafer.
    Type: Grant
    Filed: May 18, 2021
    Date of Patent: June 13, 2023
    Assignee: Texas Instruments Incorporated
    Inventor: Joseph Peter Plourde
  • Patent number: 11639898
    Abstract: An apparatus for testing an edge portion of a substrate, includes a first illumination source configured to irradiate light to an end portion of the edge portion of the substrate; a second illumination source configured to irradiate light to a lower portion of the edge portion; a third illumination source configured to irradiate light to an upper portion of the edge portion; and first to third photographing portions, respectively corresponding to the first to third illumination sources, wherein the first illumination source comprises a C-shaped cross-section and comprises a first curved surface facing the end portion of the edge portion, the second illumination source comprises a half C-shaped cross-section and comprises a second curved surface facing the lower portion of the edge portion, and the third illumination source comprises a half C-shaped cross-section and comprises a third curved surface facing the upper portion of the edge portion.
    Type: Grant
    Filed: April 18, 2018
    Date of Patent: May 2, 2023
    Assignee: Corning Incorporated
    Inventors: Sung-chan Hwang, Ji Hwa Jung, Tae-ho Keem, SoYoung Song
  • Patent number: 11624712
    Abstract: A substrate defect inspection method includes: irradiating a target substrate with an EUV beam from an EUV illumination source by using a first focusing optical system; guiding a scattered reflected beam, but no specularly-reflected beam, among beams reflected from the target substrate to a light receiving surface of a sensor by using a second focusing optical system; and determining that a defect is present at an irradiation spot of the target substrate with the EUV beam when an intensity of the received scattered reflected beam exceeds a predetermined threshold; the method further including, before the irradiation of the target substrate with the EUV beam: a reflectance acquisition step of acquiring a reflectance of the target substrate to the EUV beam; and a threshold computation step of setting the predetermined threshold based on the reflectance acquired in the reflectance acquisition step.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: April 11, 2023
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsuneo Terasawa, Yukio Inazuki, Hideo Kaneko
  • Patent number: 11593930
    Abstract: An inspection apparatus including an image generation device which generates a second image corresponding to a first image, and a defect detection device which detects a defect in the second image. Each of the first and second image includes partial regions each including pixels. The defect detection device is configured to estimate a first value indicating a position difference between the first and second image for each of the partial regions, based on a luminance difference between the first and second image, estimate a second value indicating a reliability of the first value for each of the partial regions, and estimate a position difference between the first and second image for each of the pixels, based on the first and second value estimated for each of the partial regions.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: February 28, 2023
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideaki Okano, Takeshi Morino, Yoshinori Honguh
  • Patent number: 11580274
    Abstract: A method including performing a simulation to evaluate a plurality of metrology targets and/or a plurality of metrology recipes used to measure a metrology target, identifying one or more metrology targets and/or metrology recipes from the evaluated plurality of metrology targets and/or metrology recipes, receiving measurement data of the one or more identified metrology targets and/or metrology recipes, and using the measurement data to tune a metrology target parameter or metrology recipe parameter.
    Type: Grant
    Filed: March 24, 2016
    Date of Patent: February 14, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Lotte Marloes Willems, Kaustuve Bhattacharyya, Panagiotis Pieter Bintevinos, Guangqing Chen, Martin Ebert, Pieter Jacob Mathias Hendrik Knelissen, Stephen Morgan, Maurits Van Der Schaar, Leonardus Henricus Marie Verstappen, Jen-Shiang Wang, Peter Hanzen Wardenier
  • Patent number: 11474438
    Abstract: An inspection apparatus for inspecting an object such as a pellicle for use in an EUV lithographic apparatus, the inspection apparatus including: a vacuum chamber; a load lock forming an interface between the vacuum chamber and an ambient environment; and a stage apparatus configured to receive the object from the load lock and displace the object inside the vacuum chamber, wherein the vacuum chamber includes a first parking position and a second parking position for temporarily storing the object.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: October 18, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Pawel Safinowski, Derk Servatius Gertruda Brouns
  • Patent number: 11448601
    Abstract: A method and a system for obtaining information from a sample. The system may include (i) a spatial filter that includes a blocking element and an aperture; (ii) an illumination unit; and (iii) an optical unit that includes an optical objective assembly. The illumination unit may be configured to illuminate the optical objective assembly with oblique radiation. The optical objective assembly may be configured to (a) focus the oblique radiation onto the sample, (b) collect radiation from the sample to provide collected radiation, and (c) reflect the oblique radiation to provide back reflected radiation. The optical unit may be configured to (a) focus the collected radiation to provide focused collected radiation, (b) direct the focused collection radiation towards the aperture, (c) focus the back reflected radiation to provide focused back reflected radiation, and (d) direct the focused back reflected radiation towards the blocking element.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: September 20, 2022
    Assignee: Applied Materials Israel Ltd.
    Inventors: Boris Golberg, Roman Naidis
  • Patent number: 11416979
    Abstract: A defect displaying method is provided in the disclosure. The method comprises acquiring defect group information from an image of a wafer, wherein the defect group information includes a set of correlations between a plurality of defects identified from the image and one or more corresponding assigned defect types and displaying at least some of the plurality of defects according to their corresponding assigned defect types.
    Type: Grant
    Filed: January 18, 2018
    Date of Patent: August 16, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Wei Fang, Cho Huak Teh, Ju Hao Chien, Yi-Ying Wang, Shih-Tsung Chen, Jian-Min Liao, Chuan Li, Zhaohui Guo, Pang-Hsuan Huang, Shao-Wei Lai, Shih-Tsung Hsu
  • Patent number: 11361951
    Abstract: A photomultiplier tube (PMT) detector assembly includes a PMT and an analog PMT detector circuit. The PMT includes a photocathode configured to emit an initial set of photoelectrons in response to an absorption of photons. The PMT includes a dynode chain with a plurality of dynodes. The dynode chain is configured to receive the initial set of photoelectrons, generate at least one amplified set of photoelectrons, and direct the at least one amplified set of photoelectrons. The PMT includes an anode configured to receive the at least one amplified set of photoelectrons, with a digitized image being generated based on a measurement of the final amplified set of photoelectrons. The digitized image is corrected by applying an output of the signal measured by the analog PMT detector circuit to the digitized image.
    Type: Grant
    Filed: September 24, 2020
    Date of Patent: June 14, 2022
    Assignee: KLA Corporation
    Inventor: Derek Mackay
  • Patent number: 11294170
    Abstract: A method for removing a foreign substance according to an embodiment includes: a step of preparing a Fabry-Perot interference filter in which a gap is formed between a portion of a first laminate at least including a first mirror portion and a portion of a second laminate at least including a second mirror portion facing each other so that a distance between the first mirror portion and the second mirror portion facing each other varies by an electrostatic force; a step of detecting a foreign substance adhering to a surface of the second laminate; and a step of blowing air in which an airflow peak position is adjusted on the basis of a position of the detected foreign substance onto the surface of the second laminate and thereby removing the foreign substance from the surface of the second laminate.
    Type: Grant
    Filed: November 9, 2018
    Date of Patent: April 5, 2022
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventors: Masaki Hirose, Katsumi Shibayama, Takashi Kasahara, Toshimitsu Kawai, Hiroki Oyama, Yumi Kuramoto
  • Patent number: 11244444
    Abstract: The present invention provides a method and apparatus for analyzing a semiconductor wafer for analyzing a defect distribution pattern on a semiconductor wafer to be tested. The method comprises: obtaining a defect distribution map of the semiconductor wafer to be tested, the defect distribution map indicating a defect distribution within a surface of the semiconductor wafer to be tested; establishing a three-dimensional model to be tested according to the defect distribution map, wherein an XY plane of the three-dimensional model to be tested corresponds to the surface of the semiconductor wafer to be tested, and a Z-axis of the three-dimensional model to be tested corresponds to the number of defects in each grid unit in the XY plane.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: February 8, 2022
    Assignee: Shanghai Huali Integrated Circuit Mfg. Co. Ltd.
    Inventors: Xiao Chen, Jianye Song, Guangzhi He
  • Patent number: 11203299
    Abstract: A view system (100A, 100B) for a vehicle (1) has at least one image capture unit (10A, 10B) for capturing image data of an area around the vehicle (1), wherein the image capture unit (10A, 10B) has an image sensor (11A, 11B) and an optical element (12A, 12B), at least one image processing unit (20A, 20B) for processing the image data captured by the image capture unit, and at least one light source (40, 40.1, 40.2) for illuminating the optical element (12A, 12B). The view system is configured to highlight the recognizability of a foreign particle (S, T), which is located on the optical element (12A, 12B), on the image sensor (11A, 11B) of the image capture unit (10A, 10B) by means of the illumination of the optical element (12A, 12B).
    Type: Grant
    Filed: April 29, 2020
    Date of Patent: December 21, 2021
    Assignee: MEKRA LANG GMBH & CO. KG
    Inventors: Werner Lang, Stefan Centmayer, Christian Traub
  • Patent number: 11199505
    Abstract: A method for machine learning enhanced optical-based screening for in-line wafer testing includes receiving optical spectra data for a wafer-under-test by performing scatterometry on the wafer-under-test, performing predictive model screening by applying a predictive model based on the optical spectra data, determining whether a device associated with the wafer-under-test is defective based on the predictive model screening, and if the device is determined to be defective, dynamically modifying a yield map associated with the wafer-under-test, including reassigning at least one die.
    Type: Grant
    Filed: August 23, 2018
    Date of Patent: December 14, 2021
    Assignee: International Business Machines Corporation
    Inventors: Robin Hsin Kuo Chao, Mary Breton, Huai Huang, Dexin Kong, Lawrence A. Clevenger
  • Patent number: 11187662
    Abstract: A device and a method for simultaneously inspecting defects of a surface and a subsurface of an optical element are provided. Combined with laser-induced ultrasound and laser scattering inspection technologies, through generating acoustic sound waves on the surface and the subsurface of the optical element to be tested by lasers, a static light scattering effect of subsurface defects under modulation of the acoustic sound wave is observed and analyzed; through analyzing amplitude and phase changes of scattered light intensity and reflected light intensity, inspection for the defects of the surface and the subsurface of the optical element is realized. The present invention can be applied in quality inspection of precise optical elements, especially in finished product inspection of ultra-precise optical elements having strict requirements on the subsurface defects.
    Type: Grant
    Filed: August 2, 2019
    Date of Patent: November 30, 2021
    Assignee: ZHEJIANG UNIVERSITY
    Inventors: Anyu Sun, Zhihong Li, Bingfeng Ju, Chuanyong Wang, Xiaoyu Yang, Zeqing Sun, Huilin Du
  • Patent number: 11175220
    Abstract: A surface defect measuring apparatus and method by microscopic scattering polarization imaging is provided. The apparatus mainly comprises a laser, a first converging lens, a rotary diffuser, a second converging lens, a diaphragm, a third converging lens, a pinhole, a fourth converging lens, a polarizer, a half-wave plate, a polarizing beam splitter, an X-Y translation stage, a sample, a microscope lens, a quarter-wave plate, a micro-polarizer array, a camera and a computer. The micro-polarizer array is adopted to realize real-time microscopic scattering polarization imaging of the surface defects; a polarization-degree image is calculated to improve the sensitivity for detecting the surface defects of the ultra-smooth element, and the effective detection of the surface defects of a high-reflective coating element is also realized, and the requirement for rapid detection of the surface defects of a meter-scale large-aperture ultra-smooth element can be met.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: November 16, 2021
    Assignee: Shanghai Institute of Optics And Fine Mechanics, Chinese Academy of Sciences
    Inventors: Jianda Shao, Shijie Liu, Kaizao Ni, Shenghao Wang, You Zhou, Weiwei Wang, Tianzhu Xu, Qi Lu
  • Patent number: 11119051
    Abstract: A system for processing a substrate is provided. The system includes a process chamber including one or more sidewalls enclosing a processing region; and a substrate support. The system further includes a passageway connected to the process chamber; and a first particle detector disposed at a first location along the passageway. The first particle detector includes an energy source configured to emit a first beam; one or more optical devices configured to direct the first beam along one or more paths, where the one or more paths extend through at least a portion of the passageway. The first particle detector further includes a first energy detector disposed at a location other than on the one or more paths. The system further includes a controller configured to communicate with the first particle detector, wherein the controller is configured to identify a fault based on signals received from the first particle detector.
    Type: Grant
    Filed: October 2, 2020
    Date of Patent: September 14, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Todd Egan, Mehdi Vaez-Iravani, Samer Banna, Kyle Tantiwong, Gregory Kirk, Abraham Ravid, Yaoming Shen
  • Patent number: 11105839
    Abstract: An apparatus, a method and a computer program product for defect detection in work pieces is disclosed. At least one light source is provided and the light source generates an illumination light of a wavelength range at which the work piece is transparent. A camera images the light from at least one face of the work piece on a detector of the camera by means of a lens. A stage is used for moving the work piece and for imaging the at least one face of the semiconductor device completely with the camera. The computer program product is disposed on a non-transitory, computer readable medium for defect detection in work pieces. A computer is used to execute the various process steps and to control the various means of the apparatus.
    Type: Grant
    Filed: November 2, 2020
    Date of Patent: August 31, 2021
    Assignee: KLA Corporation
    Inventors: Tom Marivoet, Carl Truyens, Christophe Wouters
  • Patent number: 11022554
    Abstract: A method of detecting a presence of foreign material in a ply is disclosed. A source of foreign material is marked with a fluorescent indicator. The source of foreign material is separated from the ply. An illumination source is provided for illuminating the ply at a different wavelength than the fluorescent indicator fluoresces so that the ply reflects light at a different wavelength. A sensor detects illumination of the ply and fluorescent indicator included in the foreign material disposed upon the ply. Differences in light reflected from the ply and fluorescence of the indicator disposed in the foreign material are detected thereby identifying existence of the foreign material in the ply.
    Type: Grant
    Filed: April 5, 2019
    Date of Patent: June 1, 2021
    Assignee: VIRTEK VISION INTERNATIONAL ULC
    Inventor: Kurt D. Rueb
  • Patent number: 11002688
    Abstract: An apparatus and method are disclosed for actinic inspection of semiconductor masks intended for extended ultraviolet (EUV) lithography, or similar objects, with feature sizes less than 100 nm. The approach uses a coherent light source with wavelength less than 120 nm. Inside a vacuum system, an optical system directs the light to an object, i.e., the mask or mask blank, and directs the resulting reflected or transmitted light to an imaging sensor. A computational system processes the imaging sensor data to generate phase and amplitude images of the object. The preferred imaging modality, a form of digital holography, produces images of buried structures and phase objects, as well as amplitude or reflectance images, with nanometer resolution less than or equal to the feature size of the mask.
    Type: Grant
    Filed: July 9, 2019
    Date of Patent: May 11, 2021
    Inventor: Steven M. Ebstein
  • Patent number: 10976152
    Abstract: A method for defect inspection of a transparent substrate comprises (a) providing an optical system for performing a diffraction process of object wave passing through a transparent substrate, (b) interfering and wavefront recording for the diffracted object wave and a reference wave to reconstruct the defect complex images (including amplitude and phase) of the transparent substrate, (c) characteristics analyzing, features classifying and sieving for the defect complex images of the transparent substrate, and (d) creating defect complex images database based-on the defect complex images for comparison and detection of the defect complex images of the transparent substrate.
    Type: Grant
    Filed: May 9, 2017
    Date of Patent: April 13, 2021
    Assignee: NATIONAL TAIWAN NORMAL UNIVERSiTY
    Inventors: Chau-Jern Cheng, Chin-Yu Liu, Xin-Ji Lai
  • Patent number: 10943804
    Abstract: The present disclosure describes a method for controlling a wet processing system includes dispensing one or more chemicals into a processing chamber according to one or more process parameters. The method also includes injecting one or more illumination markers into the processing chamber and obtaining images representing locations of the one or more illumination markers. The method further includes determining a trajectory of an illumination marker of the one or more illumination markers based on the images and determining whether the determined trajectory is outside a predetermined trajectory range. In response to the determined trajectory being outside the predetermined trajectory range, the method further includes adjusting the one or more process parameters.
    Type: Grant
    Filed: June 27, 2019
    Date of Patent: March 9, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Bo-Chen Chen, Sheng-Wei Wu, Yung-Li Tsai
  • Patent number: 10887500
    Abstract: Optical inspection system detects defects of an object, such as an electronic device during manufacturing. The optical inspection system includes a first linear light source that irradiates a first light beam on a top surface of the object along a scan line across the width of the object. A second linear light source forms an angle with the first linear light source and irradiates a second light beam on a side surface of the object. A camera receives scattered light from the top surface and the side surface of the object and captures a subimage of the object along the scan line. An image processing system receives each subimage from the camera, stitches the subimages, and detects defects on the top surface and the side surface of the object.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: January 5, 2021
    Assignee: Hong Kong Applied Science and Technology Research Institute Co., Ltd.
    Inventors: Changli Wu, Ying Liu
  • Patent number: 10868418
    Abstract: In accordance with one example, the electronic switch has a load current path operably coupled to a load via a wire; the electronic switch is configured to connect or disconnect a load current supply node and the load via the wire dependent on a drive signal. Further, the electronic fuse circuit includes a monitoring circuit configured to receive a current sense signal representing the load current passing through the wire and to determine a first protection signal based on the current sense signal and at least one wire parameter. The first protection signal is indicative of whether to disconnect the load current supply node from the load. Moreover, the electronic fuse circuit includes a logic circuit configured to receive at least one selection signal and to set the at least one wire parameter based on the at least one selection signal.
    Type: Grant
    Filed: March 29, 2018
    Date of Patent: December 15, 2020
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Christian Djelassi-Tscheck, Robert Illing, Cosimo Lamacchia, Mario Tripolt
  • Patent number: 10868403
    Abstract: A laser apparatus of the present disclosure includes: a master oscillator configured to emit a laser beam; a laser amplifier disposed on an optical path of the laser beam; a propagation optical system disposed on an optical path between the laser amplifier and a target supplied into an EUV chamber in which EUV light is generated; and a polarization isolator disposed on an optical path between the laser amplifier and the propagation optical system. The polarization isolator includes: a polarizer configured to emit, selecting from the laser beam incident on the polarizer, a laser beam linearly polarized in a predetermined polarization direction; and a reflection retarder disposed on an optical path between the polarizer and the propagation optical system to convert, through reflection, the laser beam linearly polarized in the predetermined polarization direction into an elliptically polarized laser beam having retardation that reduces retardation occurring at the propagation optical system.
    Type: Grant
    Filed: July 3, 2019
    Date of Patent: December 15, 2020
    Assignee: Gigaphoton Inc.
    Inventor: Yasufumi Kawasuji
  • Patent number: 10845317
    Abstract: A system for processing a substrate is provided. The system includes a process chamber including one or more sidewalls enclosing a processing region; and a substrate support. The system further includes a passageway connected to the process chamber; and a first particle detector disposed at a first location along the passageway. The first particle detector includes an energy source configured to emit a first beam; one or more optical devices configured to direct the first beam along one or more paths, where the one or more paths extend through at least a portion of the passageway. The first particle detector further includes a first energy detector disposed at a location other than on the one or more paths. The system further includes a controller configured to communicate with the first particle detector, wherein the controller is configured to identify a fault based on signals received from the first particle detector.
    Type: Grant
    Filed: May 23, 2017
    Date of Patent: November 24, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Todd Egan, Mehdi Vaez-Iravani, Samer Banna, Kyle Tantiwong, Gregory Kirk, Abraham Ravid, Yaoming Shen
  • Patent number: 10732130
    Abstract: An inspection system may include an illumination source to generate an illumination beam, illumination optics to direct the illumination beam to a sample. The system may further include a first collection channel to collect light from the sample within a first range of solid angles and at a first selected polarization. The system may further include a second collection channel to collect light from the sample within a second angular range, the second range of solid angles and at a second selected polarization. The system may further include a controller to receive two or more scattering signals. The scattering signals may include signals from the first and second collection channels having selected polarizations. The controller may further determine depths of defects in the sample based on comparing the two or more scattering signals to training data including data from a training sample having known defects at known depths.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: August 4, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Haiping Zhang, Gang Yu
  • Patent number: 10712289
    Abstract: Various embodiments for detecting defects on a wafer are provided. One method includes acquiring output generated by an inspection system for a wafer during an inspection process that is performed after at least first and second process steps have been performed on the wafer. The first and second process steps include forming first and second portions, respectively, of a design on the wafer. The first and second portions of the design are mutually exclusive in space on the wafer. The method also includes detecting defects on the wafer based on the output and determining positions of the defects with respect to the first and second portions of the design. In addition, the method includes associating different portions of the defects with the first or second process step based on the positions of the defects with respect to the first and second portions of the design.
    Type: Grant
    Filed: July 27, 2015
    Date of Patent: July 14, 2020
    Assignee: KLA-Tencor Corp.
    Inventors: Oksen Toros Baris, Raghav Babulnath
  • Patent number: 10712287
    Abstract: An inspection device according to the present disclosure includes a detector for inspection that includes a plurality of pixels arranged on a light receiving surface and acquires image data by transferring charge produced by light received by the plurality of pixels in a transfer direction at a specified transfer timing, a light source that emits illumination light including pulsed light, a pulse enable circuit that controls emission timing for the light source to emit the illumination light based on the transfer timing, an illumination optical system that illuminates an object to be inspected with the illumination light, a condensing optical system that condenses, on the detector for inspection, light from the object to be inspected illuminated with the illumination light, and a processing unit that inspects the object to be inspected by using the image data of the object to be inspected.
    Type: Grant
    Filed: March 7, 2019
    Date of Patent: July 14, 2020
    Assignee: LASERTEC CORPORATION
    Inventors: Masayasu Nishizawa, Haruhiko Kusunose, Tomohiro Suzuki
  • Patent number: 10695804
    Abstract: Embodiments described herein relate to a cleaning device and methods for cleaning an object. In one embodiment, the object is cleaned by moving a clean head along a surface of the object. Supercritical carbon dioxide fluid is delivered by supercritical carbon dioxide fluid vessel to the surface of the object. The supercritical carbon dioxide fluid and contamination material are removed from the object by a vacuum pump to a detector. One or more measurements of the contamination material are determined by the detector. Samples of the contamination material are collected by a collector. A contamination level of the surface of the object is determined by an analyzer.
    Type: Grant
    Filed: January 25, 2018
    Date of Patent: June 30, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Roman M. Mostovoy, Suketu Arun Parikh, Todd Egan
  • Patent number: 10663286
    Abstract: Methods and systems disclosed herein can measure thin film stacks, such as film on grating and bandgap on grating in semiconductors. For example, the thin film stack may be a 1D film stack, a 2D film on grating, or a 3D film on grating. One or more effective medium dispersion models are created for the film stack. Each effective medium dispersion model can substitute for one or more layers. A thickness of one or more layers can be determined using the effective medium dispersion based scatterometry model. In an instance, three effective medium dispersion based scatterometry models are developed and used to determine thickness of three layers in a film stack.
    Type: Grant
    Filed: November 1, 2017
    Date of Patent: May 26, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Houssam Chouaib, Zhengquan Tan
  • Patent number: 10656534
    Abstract: Methods and apparatuses for measuring a plurality of structures formed on a substrate are disclosed. In one arrangement, a method includes obtaining data from a first measurement process. The first measurement process including individually measuring each of the plurality of structures to measure a first property of the structure. A second measurement process is used to measure a second property of each of the plurality of structures. The second measurement process includes illuminating each structure with radiation having a radiation property that is individually selected for that structure using the measured first property for the structure.
    Type: Grant
    Filed: May 31, 2019
    Date of Patent: May 19, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Nitesh Pandey, Jin Lian, Samee Ur-Rehman, Martin Jacobus Johan Jak