On Patterned Or Topographical Surface (e.g., Wafer, Mask, Circuit Board) Patents (Class 356/237.4)
  • Patent number: 10573534
    Abstract: A method for monitoring a rapid heating process to which a semiconductor wafer is subjected includes performing the heating process for a region of the semiconductor wafer, irradiating the semiconductor wafer with a laser beam, detecting light of the laser beam that is reflected from the semiconductor wafer, creating haze data based on the detected light and determining heated regions and/or transition regions between heated and non-heated regions of the semiconductor wafer on the basis of the haze data.
    Type: Grant
    Filed: February 15, 2017
    Date of Patent: February 25, 2020
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jan Holub, Kay Wendt
  • Patent number: 10545099
    Abstract: Disclosed are apparatus and methods for detecting defects on a semiconductor sample. An optical inspector is first used to inspect a semiconductor sample with an aggressively predefined threshold selected to detect candidate defect and nuisance sites at corresponding locations across the sample. A high-resolution distributed probe inspector includes an array of miniature probes that are moved relative to the sample to scan and obtain a high-resolution image of each site to detect and separate the candidate defect sites from the nuisance sites. A higher-resolution probe is then used to obtain a higher-resolution image of each candidate site to obtain a high-resolution image of each site to separate real defects that adversely impact operation of any devices on the sample from the candidate defects.
    Type: Grant
    Filed: February 11, 2019
    Date of Patent: January 28, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Grace Chen, Lawrence Muray
  • Patent number: 10534274
    Abstract: Metrology apparatus and methods for inspecting a substrate are disclosed. A source beam of radiation emitted by a radiation source is split into a measurement beam and a reference beam. A first target on the substrate is illuminated with the measurement beam. A second target separated from the substrate is illuminated with the reference beam. First scattered radiation collected from the first target and second scattered radiation collected from the second target are delivered to the detector. The first scattered radiation interferes with the second scattered radiation at the detector. The first target comprises a first pattern. The second target comprises a second pattern, or a pupil plane image of the second pattern. The first pattern is geometrically identical to the second pattern, the first pattern and the second pattern are periodic and a pitch of the first pattern is identical to a pitch of the second pattern, or both.
    Type: Grant
    Filed: October 3, 2017
    Date of Patent: January 14, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Teunis Willem Tukker, Amandev Singh, Gerbrand Van Der Zouw
  • Patent number: 10537020
    Abstract: A printed circuit board according to an embodiment of the present invention includes a base film having an insulating property and a conductive pattern disposed on at least one surface of the base film. The conductive pattern includes a copper particle bond layer which is fixed to the base film, and a lightness L* of a conductive pattern non-formed region of the base film is 60 or less. The base film may include a modified layer on one surface side thereof.
    Type: Grant
    Filed: August 1, 2016
    Date of Patent: January 14, 2020
    Assignees: SUMITOMO ELECTRIC INDUSTRIES, LTD., SUMITOMO ELECTRIC PRINTED CIRCUITS, INC.
    Inventors: Kohei Okamoto, Kousuke Miura, Hiroshi Ueda, Takashi Kasuga, Kazuhiro Miyata
  • Patent number: 10497658
    Abstract: In one embodiment of the invention, a method for correcting a pattern placement on a substrate is disclosed. The method begins by detecting three reference points for a substrate. A plurality of sets of three die location points are detected, each set indicative of an orientation of a die structure, the plurality of sets include a first set associated with a first dies and a second set associated with a second die. A local transformation is calculated for the orientation of the first die and the second on the substrate. Three orientation points are selected from the plurality of sets of three die location points wherein the orientation points are not set members of the same die. A first global orientation of the substrate is calculated from the selected three points from the set of points and the first global transformation and the local transformation for the substrate are stored.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: December 3, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Tamer Coskun, Jang Fung Chen
  • Patent number: 10488348
    Abstract: Systems configured to inspect a wafer are provided. One system includes an illumination subsystem configured to direct pulses of light to an area on a wafer; a scanning subsystem configured to scan the pulses of light across the wafer; a collection subsystem configured to image pulses of light scattered from the area on the wafer to a sensor, wherein the sensor is configured to integrate a number of the pulses of scattered light that is fewer than a number of the pulses of scattered light that can be imaged on the entire area of the sensor, and wherein the sensor is configured to generate output responsive to the integrated pulses of scattered light; and a computer subsystem configured to detect defects on the wafer using the output generated by the sensor.
    Type: Grant
    Filed: January 29, 2018
    Date of Patent: November 26, 2019
    Assignee: KLA-Tencor Corp.
    Inventors: Anatoly Romanovsky, Ivan Maleev, Daniel Kavaldjiev, Yury Yuditsky, Dirk Woll, Stephen Biellak, Mehdi Vaez-Iravani, Guoheng Zhao
  • Patent number: 10469782
    Abstract: A time delay and integration charge coupled device includes an array of pixels and a clock generator. The array of pixels is distributed in a scan direction and a line direction perpendicular to the scan direction in which at least some of the pixels of the array include three or more gates aligned in the scan direction. The clock generator provides clocking signals to transfer charge along the scan direction between two or more pixel groups including two or more pixels adjacent in the scan direction. The clocking signals include phase signals to transfer the charge to an adjacent pixel group along the scan direction at a rate corresponding to the velocity of the target by driving the gates of the two or more pixel groups and generating a common potential well per pixel group for containing charge generated in response to incident illumination.
    Type: Grant
    Filed: September 22, 2017
    Date of Patent: November 5, 2019
    Assignee: KLA-Tencor Corporation
    Inventor: David L. Brown
  • Patent number: 10379064
    Abstract: A substrate inspection device for inspecting a flexible printed board to determine whether the transparent adhesive material is properly applied thereto comprises: a substrate reading device which irradiates the flexible printed board with visible light to acquire image data; an adhesive material position determination unit which determines a position of adhesive material CAD data corresponding to the transparent adhesive material with respect to blue color image data among the image data corresponding to blue light which is readily absorbed by polyimide, to create adhesive material alignment data in which the adhesive material CAD data is superposed; an edge enhancing unit which performs processing, on the blue color image data, to enhance an edge of the transparent adhesive material; and a straight line determination unit which determines whether the edge of the transparent adhesive material is present in edge enhanced data in which the edge of the transparent adhesive material is enhanced.
    Type: Grant
    Filed: December 16, 2016
    Date of Patent: August 13, 2019
    Assignee: NIPPON MEKTRON, LTD.
    Inventor: Kenichi Mishima
  • Patent number: 10346964
    Abstract: An apparatus and method are disclosed for actinic inspection of semiconductor masks intended for extended ultraviolet (EUV) lithography, or similar objects, with feature sizes less than 100 nm. The approach uses a coherent light source with wavelength less than 120 nm. Inside a vacuum system, an optical system directs the light to an object, i.e., the mask or mask blank, and directs the resulting reflected or transmitted light to an imaging sensor. A computational system processes the imaging sensor data to generate phase and amplitude images of the object. The preferred imaging modality, a form of digital holography, produces images of buried structures and phase objects, as well as amplitude or reflectance images, with nanometer resolution less than or equal to the feature size of the mask.
    Type: Grant
    Filed: February 2, 2017
    Date of Patent: July 9, 2019
    Inventor: Steven M. Ebstein
  • Patent number: 10330609
    Abstract: A method and an apparatus of inspecting a substrate with a component mounted thereon, which are capable of inspecting whether the component is properly mounted or not without additional setting or changing inspection condition, are provided. The method comprises measuring a three-dimensional shape by irradiating the pattern image toward the substrate through at least one illumination unit and by taking a reflected image through an imaging unit, extracting a shield region from the three-dimensional shape, and inspecting a component mounting defect in an area excluding the shield region in the three-dimensional shape.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: June 25, 2019
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventor: Joong-Ki Jeong
  • Patent number: 10324044
    Abstract: An apparatus, a method and a computer program product for defect detection in work pieces is disclosed. At least one light source is provided and the light source generates an illumination light of a wavelength range at which the work piece is transparent. A camera images the light from at least one face of the work piece on a detector of the camera by means of a lens. A stage is used for moving the work piece and for imaging the at least one face of the semiconductor device completely with the camera. The computer program product is disposed on a non-transitory, computer readable medium for defect detection in work pieces. A computer is used to execute the various process steps and to control the various means of the apparatus.
    Type: Grant
    Filed: July 1, 2016
    Date of Patent: June 18, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Tom Marivoet, Carl Truyens, Christophe Wouters
  • Patent number: 10310389
    Abstract: Methods and apparatuses for measuring a plurality of structures formed on a substrate are disclosed. In one arrangement, a method includes obtaining data from a first measurement process. The first measurement process including individually measuring each of the plurality of structures to measure a first property of the structure. A second measurement process is used to measure a second property of each of the plurality of structures. The second measurement process includes illuminating each structure with radiation having a radiation property that is individually selected for that structure using the measured first property for the structure.
    Type: Grant
    Filed: March 30, 2018
    Date of Patent: June 4, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Nitesh Pandey, Jin Lian, Samee Ur Rehman, Martin Jacobus Johan Jak
  • Patent number: 10254214
    Abstract: Systems, devices, and methods for combined wafer and photomask inspection are provided. In some embodiments, chucks are provided, the chucks comprising: a removable insert, wherein the removable insert is configured to support a wafer so that an examination surface of the wafer lies within a focal range when the chuck is in a first configuration, wherein the removable insert is inserted into the chuck in the first configuration; and a first structure forming a recess that has a depth sufficient to support a photomask so that an examination surface of the photomask lies within the focal range when the chuck is in a second configuration, wherein the removable insert is not inserted into the chuck in the second configuration.
    Type: Grant
    Filed: February 20, 2018
    Date of Patent: April 9, 2019
    Assignee: Nanotronics Imaging, Inc.
    Inventors: Randolph E. Griffith, Jeff Andresen, Scott Pozzi-Loyola, Michael Moskie, Steve Scranton, Alejandro S. Jaime, John B. Putman
  • Patent number: 10249518
    Abstract: According to one embodiment, a polishing device includes a stage holding a wafer, a polishing part polishing a film formed on a circumferential edge portion of the wafer, a detector detecting a residual portion of the film on the circumferential edge portion, a first movable part moving the detector along a surface of the circumferential edge portion; and a controller controlling the polishing part based on a state of the circumferential edge portion detected by the detector.
    Type: Grant
    Filed: September 3, 2015
    Date of Patent: April 2, 2019
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Masayoshi Adachi, Jun Takayasu
  • Patent number: 10161886
    Abstract: A device implements a method for detecting contamination of an FTIR-based panel. The apparatus generates projection signals representing detection lines that have propagated on a plurality of propagation paths by total internal reflection (TIR) inside a transmissive panel such that contamination on the panel surface causes attenuation (frustration) of at least one of the projection signals. The device generates a transmission value for each detection line in the transmissive panel, and determines the presence of contamination on the surface of the panel by comparing the transmission values according to at least one of the presented comparison techniques.
    Type: Grant
    Filed: June 22, 2015
    Date of Patent: December 25, 2018
    Assignee: FlatFrog Laboratories AB
    Inventors: Nicklas Ohlsson, Tomas Christiansson
  • Patent number: 10151705
    Abstract: The present invention relates to a method for generating a compensation matrix during a substrate inspection.
    Type: Grant
    Filed: September 11, 2014
    Date of Patent: December 11, 2018
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Seungwon Jung, Jongjin Choi, Heewook You
  • Patent number: 10094787
    Abstract: An optical inspector includes a time varying beam reflector, a radiating source that irradiates the time varying beam reflector, a telecentric scan lens configured to direct the radiation reflected by the time varying beam reflector onto a first surface of a transparent sample, a first detector that receives at least a portion of top surface specular reflection, a second detector that receives at least a portion of the bottom surface specular reflection. A turning mirror may also be included. The turning mirror is a switchable mirror that can be adjusted to a first position where the turning mirror reflects the top and bottom surface specular reflection, and can be adjusted to a second position where the turning mirror does not reflect the top or the bottom surface specular reflection. A first and second polarizing element may also be included to detect additional types of defects on either surface.
    Type: Grant
    Filed: May 19, 2016
    Date of Patent: October 9, 2018
    Assignee: KLA-TENCOR CORPORATION
    Inventors: Steven W. Meeks, Rusmin Kudinar, Ronny Soetarman, Hung P. Nguyen
  • Patent number: 10067417
    Abstract: The present disclosure provides a mask plate, a mask exposure device and a mask exposure method, belongs to the field of display technology. The mask plate includes a tray with at least one mask locating slot, and a mask is arranged in each mask locating slot. By the mask plate, the mask exposure device and the mask exposure method provided by the present disclosure, an effective mask with a closed-loop shaped opening may be provided, thereby improving a quality of a film formed on a substrate.
    Type: Grant
    Filed: July 20, 2015
    Date of Patent: September 4, 2018
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Seiji Fujino, Song Zhang, Tao Wang, Jing Gao, Xiaobo Du
  • Patent number: 10062612
    Abstract: Generally, the present disclosure is directed to a method for forming a FinFET device that may be used in designs that include both tight and relaxed fin pitches. The method for forming the fins includes: forming a first layer of doped silicate glass above a semiconductor wafer and within a plurality of recesses located adjacent the fins; forming a first layer of nitride above the first doped silicate glass layer; and forming a conformal oxide layer above the first nitride layer, substantially filling relatively narrow recesses between fins having a tight pitch and lining relatively wide recesses between fins having a relaxed pitch.
    Type: Grant
    Filed: October 7, 2016
    Date of Patent: August 28, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: David Paul Brunco, Daniel Jaeger
  • Patent number: 10041873
    Abstract: Methods and systems for performing optical measurements of the porosity of geometric structures filled with a fill material by a capillary condensation process are presented herein. Measurements are performed while the structure under measurement is treated with a flow of purge gas that includes a controlled amount of vaporized fill material. A portion of the fill material condenses and fills openings in the structural features such as pores of a planar film, spaces between structural features, small volumes such as notches, trenches, slits, contact holes, etc. In one aspect, the desired degree of saturation of vaporized material in the gaseous flow is determined based on the maximum feature size to be filled. In another aspect, measurement data is collected when a structure is unfilled and when the structure is filled. The collected data is combined in a multi-target model based measurement to estimate values of porosity and critical dimensions.
    Type: Grant
    Filed: April 28, 2017
    Date of Patent: August 7, 2018
    Assignee: KLA-Tencor Corporation
    Inventor: Shankar Krishnan
  • Patent number: 9978588
    Abstract: Approaches for protecting a semiconductor device (e.g., a fin field effect transistor device (FinFET)) using a nitride spacer are provided. Specifically, a nitride spacer is formed over an oxide and a set of fins of the FinFET device to mitigate damage during subsequent processing. The nitride spacer is deposited before the block layers to protect the oxide on top of a set of gates in an open area of the FinFET device uncovered by a photoresist. The oxide on top of each gate will be preserved throughout all of the block layers to provide hardmask protection during subsequent source/drain epitaxial layering. Furthermore, the fins that are open and uncovered by the photoresist or the set of gates remain protected by the nitride spacer. Accordingly, fin erosion caused by amorphization of the fins exposed to resist strip processes is prevented, resulting in improved device yield.
    Type: Grant
    Filed: March 2, 2016
    Date of Patent: May 22, 2018
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Michael Ganz
  • Patent number: 9952151
    Abstract: Provided herein is an apparatus, including a photon emitter configured to emit photons onto a surface of an article at a number of azimuthal angles; and a processing element configured to process photon-detector-array signals corresponding to photons scattered from surface features of the article and generate one or more surface features maps for the article from the photon-detector-array signals corresponding to the photons scattered from the surface features of the article.
    Type: Grant
    Filed: October 13, 2016
    Date of Patent: April 24, 2018
    Assignee: Seagate Technology LLC
    Inventors: David M. Tung, Joachim W. Ahner
  • Patent number: 9952269
    Abstract: A method and system of a method of measuring complex dielectric constant and permeability includes directing two polarizations onto a material under test and measuring one or more values of reflection coefficients. Further, the method includes integrating a p-wave reflection coefficient and a s-wave reflection coefficient and calculating, based on the measured one or more values of the reflection coefficients in association with a Brewster's angle, one or more of a complex dielectric constant and permeability.
    Type: Grant
    Filed: May 20, 2016
    Date of Patent: April 24, 2018
    Inventor: Kerim Akel
  • Patent number: 9921169
    Abstract: A method for detecting defects includes directing a scanning beam to a location on a surface of a transparent sample, measuring top and bottom surface specular reflection intensity, and storing coordinate values of the first location and the top and bottom surface specular reflection intensity in a memory. The method may further include comparing the top surface specular reflection intensity measured at each location with a first threshold value, comparing the bottom surface specular reflection intensity measured at each location with a second threshold value, and determining if a defect is present at each location and on which surface the defect is present. The method may further include comparing the top surface specular reflection intensity measured at each location with a first intensity range, comparing the bottom surface specular reflection intensity measured at each location with a second intensity range, and determining on which surface the defect is present.
    Type: Grant
    Filed: May 19, 2016
    Date of Patent: March 20, 2018
    Assignee: ZETA INSTRUMENTS, INC.
    Inventors: Steven W. Meeks, Rusmin Kudinar, Ronny Soetarman, Hung P. Nguyen
  • Patent number: 9841384
    Abstract: A defect inspecting method and apparatus for inspecting a surface state including a defect on a wafer surface, in which a polarization state of a laser beam irradiated onto the wafer surface is connected into a specified polarization state, the converted laser beam having the specified polarization state is inserted onto the wafer surface, and a scattering light occurring from an irradiated region where the laser beam having the specified polarization state is irradiated, is separated into a first scattering light occurring due to a defect on the wafer and a second scattering light occurring due to a surface roughness on the wafer. An optical element for optical path division separates the first and second scattering lights approximately at the same time.
    Type: Grant
    Filed: January 4, 2016
    Date of Patent: December 12, 2017
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Toshiyuki Nakao, Shigenobu Maruyama, Akira Hamamatsu, Yuta Urano
  • Patent number: 9835567
    Abstract: The operational state of a surface inspection system for detecting defects on the surface of semiconductor wafers is monitored by: providing a reference wafer having defects of a particular number, size, and density on an examination surface; conducting a reference inspection of the reference wafer and at least one control inspection of the reference wafer by the surface inspection system, the position and size of defects on the examination surface being measured; identifying defects which, because of their position, are regarded as common defects of the reference inspection and of the control inspection; for each common defect, determining a size difference obtained from comparing its size from the reference inspection and from the control inspection; and assessing the operational state of the surface inspection system on the basis of the size differences.
    Type: Grant
    Filed: July 20, 2015
    Date of Patent: December 5, 2017
    Assignee: SILTRONIC AG
    Inventor: Frank Laube
  • Patent number: 9784987
    Abstract: The disclosure is directed to various apodization schemes for pupil imaging scatterometry. In some embodiments, the system includes an apodizer disposed within a pupil plane of the illumination path. In some embodiments, the system further includes an illumination scanner configured to scan a surface of the sample with at least a portion of apodized illumination. In some embodiments, the system includes an apodized pupil configured to provide a quadrupole illumination function. In some embodiments, the system further includes an apodized collection field stop. The various embodiments described herein may be combined to achieve certain advantages.
    Type: Grant
    Filed: July 14, 2015
    Date of Patent: October 10, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Andrew V. Hill, Amnon Manassen, Barak Bringoltz, Ohad Bachar, Mark Ghinovker, Zeev Bomzon, Daniel Kandel
  • Patent number: 9767537
    Abstract: An inspection system that includes a memory; a configurable acquisition channel; a controller that is adapted to: (a) determine, in response to a first frequency wise relationship between the first noise power spectrum and the first signal power spectrum, a first configuration of the configurable acquisition channel; and (b) determine, in response to a second frequency wise relationship between the second noise power spectrum and the second signal power spectrum, a second configuration of the configurable acquisition channel; and wherein the configurable acquisition channel is adapted to: (a) acquire the image of the first area of the inspected object while being configured according to the first area configuration, and (b) acquire the image of the second area while being configured according to the second area configuration.
    Type: Grant
    Filed: April 20, 2016
    Date of Patent: September 19, 2017
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventor: Pavel Margulis
  • Patent number: 9766444
    Abstract: A digital microscope (1) includes an optical fiber bundle (17) that supplies bright field light, an optical fiber bundle (18) that supplies dark field light, an optical fiber bundle (19) for causing light from a light source to enter the optical fiber bundle (17) (18) and a mechanism for changing a mixture ratio of the bright field light and the dark field light according to operation in an operating section (26). A light entry end of the optical fiber bundle (17) and a light entry end of the optical fiber bundle (18) are arranged adjacent to each other to face in the same direction. A light exit end of the optical fiber (19) is arranged to be opposed to both of the light entry ends.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: September 19, 2017
    Assignee: HIROX CO., LTD.
    Inventor: Yoichi Kajiro
  • Patent number: 9721055
    Abstract: An optimized measurement model is determined based a model of parameter variations across a semiconductor wafer. A global, cross-wafer model characterizes a structural parameter as a function of location on the wafer. A measurement model is optimized by constraining the measurement model with the cross-wafer model of process variations. In some examples, the cross-wafer model is itself a parameterized model. However, the cross-wafer model characterizes the values of a structural parameter at any location on the wafer with far fewer parameters than a measurement model that treats the structural parameter as unknown at every location. In some examples, the cross-wafer model gives rise to constraints among unknown structural parameter values based on location on the wafer. In one example, the cross-wafer model relates the values of structural parameters associated with groups of measurement sites based on their location on the wafer.
    Type: Grant
    Filed: September 5, 2014
    Date of Patent: August 1, 2017
    Assignee: KLA-Tencor Corporation
    Inventor: Stilian Ivanov Pandev
  • Patent number: 9714865
    Abstract: Provided is a light condensing unit including a reflection member having a hollow dome shape a side wall of which is curved to be extended from a top portion toward a bottom portion, the reflection member having a mirror-finished inner surface, and a plurality of light irradiation members arranged around an outer wall of the reflection member and configured to irradiate an irradiation region of the top portion with light through a first opening portion formed in the outer wall. The reflection member includes a second opening portion formed in the irradiation region of the top portion, and a third opening portion formed in the bottom portion to face a light receiving lens of a light receiving unit, the light receiving unit being configured to perform a predetermined process on received light.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: July 25, 2017
    Assignee: Sony Corporation
    Inventor: Yoichi Toriumi
  • Patent number: 9671323
    Abstract: A method and apparatus for determining the presence of foreign material on a substrate chuck. The method includes: placing a bottom surface of a substrate on a top surface of the substrate chuck; applying a lateral force in a direction parallel to a top surface of the substrate chuck to the substrate; when the substrate moves partially off or moves completely off the substrate chuck in response to the applying the lateral force then a defect is present between the top surface of the substrate chuck and the bottom surface of the substrate; or when the substrate remains completely on the substrate chuck in response to the applying the lateral force then a defect is not present between the top surface of the substrate chuck and the bottom surface of the substrate.
    Type: Grant
    Filed: May 6, 2015
    Date of Patent: June 6, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Robert G. Carlson, Bradley M. Mahan
  • Patent number: 9653338
    Abstract: A non-contact wafer chucking apparatus includes a wafer chuck and a gripper assembly coupled to a portion of the wafer chuck. The wafer chuck includes pressurized gas elements configured to generate pressurized gas regions across a surface of the wafer chuck suitable for elevating the wafer above the surface of the wafer chuck. The wafer chuck further includes vacuum elements configured to generate reduced pressure regions across the surface of the wafer chuck having a pressure lower than the pressurized gas regions. The reduced pressure regions are suitable for securing the wafer above the wafer chuck without contact to the wafer chuck. The chucking apparatus includes a rotational drive unit configured to selectively rotate the wafer chuck. The gripper elements are reversibly couplable to an edge portion of the wafer so as to secure the wafer such that the wafer and gripper assembly rotate synchronously with the wafer chuck.
    Type: Grant
    Filed: December 15, 2014
    Date of Patent: May 16, 2017
    Assignee: KLA-Tencor Corporation
    Inventor: Luping Huang
  • Patent number: 9625726
    Abstract: Systems configured to provide illumination for wafer inspection performed by a wafer inspection tool are provided. One system includes one or more pupil lenses configured to focus a first far field pattern having a shape different than a shape of light generated by a light source. The system also includes a field lens array positioned between the one or more pupil lenses and an aperture stop. In addition, the system includes a lens group configured to focus a second far field pattern generated by the field lens array to a back focal plane of the lens group. The back focal plane of the lens group is a field plane of a wafer inspection tool at which a wafer to be inspected is placed during wafer inspection.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: April 18, 2017
    Assignee: KLA-Tencor Corp.
    Inventor: Qibiao Chen
  • Patent number: 9602780
    Abstract: In a defect inspecting apparatus, the strength of a fatal defect signal decreases due to miniaturization. Thus, in order to assure a high SN ratio, it is necessary to reduce noises caused by scattered light from a wafer. Roughness of a pattern edge and surface roughness which serve as a scattered-light source are spread over the entire wafer. The present invention has discovered the fact that reduction of an illuminated area is a technique effective for decreasing noises. That is to say, the present invention has discovered the fact that creation of an illuminated area having a spot shape and reduction of the dimension of a spot beam are effective. A plurality of temporally and spatially divided spot beams are radiated to the wafer serving as a sample.
    Type: Grant
    Filed: November 2, 2011
    Date of Patent: March 21, 2017
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Masaaki Ito, Hidetoshi Nishiyama, Takahiro Jingu
  • Patent number: 9570487
    Abstract: An optical output photodetector includes a substrate having a semiconductor surface and at least one optical photodetector element on the semiconductor surface. The optical photodetector element includes a plurality of integrated sensing regions which collectively provide a plurality of different absorbance spectra. The plurality of sensing regions includes a plurality of different semiconductor materials or a semiconductor material having a plurality of different dopants. The optical photodetector element can be configured as an array of optical photodetector elements and the dopants can be magnetic dopants.
    Type: Grant
    Filed: February 10, 2014
    Date of Patent: February 14, 2017
    Assignees: The United States of America, Naval Undersea Warfare Center, University of Central Florida Research Foundation, Inc.
    Inventors: Tariq Manzur, Aravinda Kar
  • Patent number: 9488922
    Abstract: An EUV lithography reticle is inspected to detect contaminant particles. The inspection apparatus comprises illumination optics with primary radiation. An imaging optical system with plural branches is arranged to form and detect a plurality of images, each branch having an image sensor and forming its image with a different portion of radiation received from the illuminated article. A processor combines information from the detected images to report on the presence and location of contaminant particles. In one or more branches the primary radiation is filtered out, so that the detected image is formed using only secondary radiation emitted by contaminant material in response to the primary radiation. In a dark field imaging branch using the scattered primary radiation, a spatial filter blocks spatial frequency components associated with periodic features of the article under inspection, to allow detection of particles which cannot be detected by secondary radiation.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: November 8, 2016
    Assignee: ASML Netherlands B.V.
    Inventors: Yuri Vainer, Vadim Yevgenyevich Banine, Luigi Scaccabarozzi, Arie Jeffrey Den Boef
  • Patent number: 9448184
    Abstract: Determination of one or more optical characteristics of a structure of a semiconductor wafer includes measuring one or more optical signals from one or more structures of a sample, determining a background optical field associated with a reference structure having a selected set of nominal characteristics based on the one or more structures, determining a correction optical field suitable for at least partially correcting the background field, wherein a difference between the measured one or more optical signals and a signal associated with a sum of the correction optical field and the background optical field is below a selected tolerance level, and extracting one or more characteristics associated with the one or more structures utilizing the correction optical field.
    Type: Grant
    Filed: February 14, 2014
    Date of Patent: September 20, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Xuefeng Liu, Yung-Ho Alex Chuang, John Fielden
  • Patent number: 9417191
    Abstract: An optical reticle inspection tool is used during an inspection to obtain, for each local area, an average of multiple reflected intensity values corresponding to light reflected from a plurality of sub-areas of each local area of the reticle. The optical reticle inspection tool is also used during the inspection to obtain, for each local area, an average of multiple transmitted intensity values corresponding to light transmitted through the sub-areas of each local area of the reticle. A combined intensity map is generated by combining, for each local area, the average of multiple reflected intensity values and the average of multiple transmitted intensity values such that a reticle pattern of the reticle is cancelled from the combined intensity map if the reticle has not degraded and such that the reticle pattern of the reticle is not cancelled out of the combined intensity map if the reticle has degraded.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: August 16, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Carl E. Hess, Rui-fang Shi
  • Patent number: 9280814
    Abstract: The charged particle beam apparatus automatically judges the good or bad of an observation object on the basis of information obtained from an image of the observation object on a wafer; displays a judgment result on a screen; displays the observation object, extracted from the judgment result, that requires to be corrected on the basis of the good or bad of the observation object from a user; and corrects the judgment result to the extracted and displayed observation object on the basis of an instruction from the user.
    Type: Grant
    Filed: July 4, 2012
    Date of Patent: March 8, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Takehiro Hirai, Kenji Obara, Kozo Miyake
  • Patent number: 9274064
    Abstract: Provided herein is an apparatus, including a mapping means for generating a map of locations of surface features of an article based on photon-detector signals corresponding to photons scattered from the surface features of the article, and a surface feature manager. The surface manager is configured to locate a predetermined surface feature of the surface features of the article based, at least in part, on the map of the surface features locations, irradiate photons of a first power onto the location of the predetermined surface feature to analyze the predetermined surface feature, and irradiate photons of a second power onto the location of the predetermined surface feature to remove the predetermined surface feature.
    Type: Grant
    Filed: February 28, 2014
    Date of Patent: March 1, 2016
    Assignee: Seagate Technology LLC
    Inventors: Joachim Walter Ahner, David M. Tung
  • Patent number: 9249501
    Abstract: A mirror (1) for a microlithography projection exposure apparatus including a substrate (3) and a reflective coating (5). A functional coating (11) between the substrate (3) and the reflective coating (5) has a local form variation (19) for correcting the surface form of the mirror (1), wherein the local form variation (19) is brought about by a local variation in the chemical composition of the functional coating (11) and wherein a thickness of the reflective coating (5) is not changed by the local variation in the chemical composition of the functional coating (11). The local variation in the chemical composition of the functional coating (11) can be brought about by bombardment with particles (15), for example with hydrogen ions.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: February 2, 2016
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Dirk Heinrich Ehm, Franz-Josef Stickel, Juergen Mueller
  • Patent number: 9239283
    Abstract: To process a signal from a plurality of detectors without being affected by a variation in the height of a substrate, and to detect more minute defects on the substrate, a defect inspection device is provided with a photoelectric converter having a plurality of rows of optical sensor arrays in each of first and second light-collecting/detecting unit and a processing unit for processing a detection signal from the first and the second light-collecting/detecting unit to determine the extent to which the positions of the focal points of the first and the second light-collecting/detecting unit are misaligned with respect to the surface of a test specimen, and processing the detection signal to correct a misalignment between the first and the second light-collecting/detecting unit, and the corrected detection signal outputted from the first and the second light-collecting/detecting unit are combined together to detect the defects on the test specimen.
    Type: Grant
    Filed: November 8, 2011
    Date of Patent: January 19, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Toshifumi Honda, Yukihiro Shibata, Atsushi Taniguchi
  • Patent number: 9228960
    Abstract: A defect inspecting method and apparatus for inspecting a surface state including a defect on a wafer surface, in which a polarization state of a laser beam irradiated onto the wafer surface is connected into a specified polarization state, the converted laser beam having the specified polarization state is inserted onto the wafer surface, and a scattering light occurring from an irradiated region where the laser beam having the specified polarization state is irradiated, is separated into a first scattering light occurring due to a defect on the wafer and a second scattering light occurring due to a surface roughness on the wafer. An optical element for optical path division separates the first and second scattering lights approximately at the same time.
    Type: Grant
    Filed: January 14, 2014
    Date of Patent: January 5, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Toshiyuki Nakao, Shigenobu Maruyama, Akira Hamamatsu, Yuta Urano
  • Patent number: 9194795
    Abstract: Method for realizing an inspection with short wavelength, high power light source and large numerical aperture, high performance optics to improve defect inspection sensitivity is disclosed. Short wavelength high power laser is realized by using a pulse oscillation type laser suitable for generation of high output power in a short-wavelength region. In addition, a spectral bandwidth of the laser is narrowed down so that amount of chromatic aberration of detection optics with single glass material (i.e. without compensation of chromatic aberration) is lowered to permissible level. Using highly workable glass material to construct the detection optics enables necessary surface accuracy or profile irregularity conditions to be met, even if the number of lenses is increased for large NA or the lens doesn't have a rotationally symmetrical aperture.
    Type: Grant
    Filed: November 24, 2014
    Date of Patent: November 24, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Kei Shimura
  • Patent number: 9189830
    Abstract: Image defogging method and system. The method includes: acquiring minimum intensity values corresponding to pixels in a foggy image, and selecting the largest values of intensity values of R, G, and B channels of pixels in an area, covered by a brightest area of a predetermined size in a local minimum intensity image, in the foggy image as component values of R, G, and B channels of an atmosphere light value; acquiring a transformation image of the foggy image with atmosphere light value of the foggy image; acquiring a transmission map of the foggy image by edge-preserving filtering the transformation image; and acquiring intensity values of R, G, and B channels of pixels in a defogged image using transmission map and atmosphere light value of the foggy image and intensity values of R, G, and B channels of pixels in the foggy image.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: November 17, 2015
    Assignee: FUJITSU LIMITED
    Inventor: Bingrong Wang
  • Patent number: 9188550
    Abstract: A method of calibration of a dataset for spectroscopically resolved radiation scanning, comprising the steps of: generating an apparatus condition specific calibration dataset of emergent radiation intensity information generated after interaction in the scanning zone of at least one standard object spectroscopically resolved into a plurality of frequency bands; providing a transferable database comprising a dataset of transferable data items of emergent intensity information for a range of component materials, each spectroscopically resolved into a plurality of frequency bands and linked to the condition specific calibration dataset; defining a reference calibration dataset; generating a transfer function between the data item and the reference calibration dataset; applying the transfer function to the transferable data item to generate a dynamic data item adjusted to the reference calibration; populating a data register with a dynamic dataset comprising a dataset of data items each dynamically adjusted to t
    Type: Grant
    Filed: January 10, 2011
    Date of Patent: November 17, 2015
    Assignee: Kromek Limited
    Inventors: David Edward Joyce, Timothy Simon Wright, Ian Radley
  • Patent number: 9182335
    Abstract: The present invention provides a method for inspecting a panel-bonding semi-finished product, which includes (1) placing a panel-bonding semi-finished product on a tray in a feeding station; (2) using a conveyance mechanism to convey the tray and the panel-bonding semi-finished product to a final display test station; (3) proceeding with a final display test on the panel-bonding semi-finished product and ending the test if the result fails and proceeding to the next step if the result passes; (4) conveying the tray and the panel-bonding semi-finished product to a final visual check station; (5) proceeding with final visual check for the panel-bonding semi-finished product that passes the final display test and if the result fails, ending the inspection and if the result passes, then proceeding to the next step; (6) conveying the tray and the panel-bonding semi-finished product to a quality inspection station; (7) proceeding with quality inspection on the panel-bonding semi-finished product; (8) conveying the
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: November 10, 2015
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventors: Fangfu Chen, Tao Ding, Guodong Zhao, Ming Liu
  • Patent number: 9170483
    Abstract: There is provided a photomask capable of improving alignment accuracy with respective photomasks disposed on the front and rear faces of a substrate. A photomask has a drawing pattern for exposure formed on one face opposing a substrate, a first alignment mark for alignment with a substrate side mark formed on the substrate, the first alignment mark being provided in a region of the one face, the region opposing the substrate when the substrate is retained and the drawing pattern is not formed in the region, and a second alignment mark for alignment with a third alignment mark provided on another photomask, the second alignment mark being provided in a region which does not oppose the substrate when the substrate is retained.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: October 27, 2015
    Assignee: NIPPON MEKTRON, LTD.
    Inventors: Shoji Takano, Fumihiko Matsuda, Yoshihiko Narisawa
  • Patent number: 9151719
    Abstract: When it is tried to detect a microscopic defect, it is desired that the width of the above-mentioned illuminated region in the minor axis direction should be short. In the related art, although an illuminated region is formed by converging light by some means, it is not easy to form an illuminated region with a narrower width. This is because various aberrations possessed by optical elements themselves used for convergence, aberrations possessed by other optical elements disposed on optical paths, assembly errors, and the like have undesired influence on the formation of linear illumination. In the related art, sufficient consideration has not been paid to the above points. The present invention is characterized in that it includes a system for changing the wavefront of light.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: October 6, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Koichi Taniguchi, Kei Shimura, Sachio Uto