Surface Condition Patents (Class 356/237.2)
  • Patent number: 11940377
    Abstract: The present invention provides a detection device and a detection method. The detection device uses the signal light formed by the interference of the first and the second echo lights reflected on the surface of the component to be detected to obtain the first light intensity distribution information of the signal light corresponding to the sampling position on the component to be detected by the first detection device to obtain the phase distribution of the signal light according to the intensity distribution to obtain the defect distribution data of the component to be detected. Among them, the first detection apparatus includes more than two polarization detectors, or a non-polarization detector and at least one polarization detector.
    Type: Grant
    Filed: July 8, 2019
    Date of Patent: March 26, 2024
    Assignee: Skyverse Technology Co., Ltd.
    Inventors: Lu Chen, Youwei Huang, Gaozeng Cui, Timmy Wang
  • Patent number: 11933739
    Abstract: An image of an inspection surface of a product shot with a first imaging unit is divided into partial area images. For each partial area, a narrow-angle partial area image shot with a second imaging unit is acquired under shooting conditions in a pre-learning table in which the conditions and IDs are set. For each partial area, the narrow-angle partial area image suitable for inspection is selected, an inspection image is generated, and an anomaly of the inspection surface is detected based on the inspection image. For each partial area, whether each condition is effective is determined based on a frequency of the ID included by the inspection image. For each partial area, whether a predetermined condition is established is determined, and, with respect to the partial area in which the predetermined condition is established, a post-learning table is established in which only the condition determined to be effective is set.
    Type: Grant
    Filed: March 26, 2019
    Date of Patent: March 19, 2024
    Assignee: NEC CORPORATION
    Inventors: Takashi Shibata, Toru Takahashi, Rui Ishiyama
  • Patent number: 11921054
    Abstract: A semiconductor wafer imaging system for imaging a semiconductor wafer includes shroud panels defining a black box, a camera positioned in the black box for imaging the semiconductor wafer, and an illumination panel for directing diffuse light to the semiconductor wafer. A portion of the diffuse light is reflected off the semiconductor wafer and the camera images the semiconductor wafer by detecting the reflected diffuse light.
    Type: Grant
    Filed: September 13, 2021
    Date of Patent: March 5, 2024
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Benjamin Michael Meyer, Justin Scott Kayser, John F. Valley, James Dean Eoff, Vandan Tanna, William L. Luter
  • Patent number: 11899107
    Abstract: A detection apparatus includes a light source device, including a plurality of light-emitting elements, configured to irradiate light onto a detection target by dividing an illuminance area into a plurality of illuminance regions; and circuitry configured to switch an illuminance level at each of the plurality of illuminance regions with a plurality of illuminance levels; detect a plurality of detection data of the detection target at the plurality of illuminance regions by switching the illuminance level at each of the plurality of illuminance regions with the plurality of illuminance levels when irradiating the light onto the detection target; and synthesize the plurality of detection data of the detection target.
    Type: Grant
    Filed: October 22, 2020
    Date of Patent: February 13, 2024
    Assignee: RICOH COMPANY, LTD.
    Inventors: Takumi Satoh, Yuuki Oka
  • Patent number: 11884789
    Abstract: A method of manufacturing a prepreg composition includes disposing a plurality of core/shell quantum dots on or in a resin matrix of the prepreg composition. The quantum dots may include an inner core that when excited by light of a first wavelength emits a detectable luminescent signal, and an outer shell that blocks light of the first wavelength from reaching the inner core when the outer shell is intact. A method of determining the suitability of a pre-impregnated composite fiber material for incorporation into a composite structure and a method of detecting damage to a prepreg composition due to ultraviolet radiation exposure utilizing the core/shell quantum dots are provided.
    Type: Grant
    Filed: March 18, 2020
    Date of Patent: January 30, 2024
    Assignee: The Boeing Company
    Inventor: Morteza Safai
  • Patent number: 11860091
    Abstract: The embodiments disclose a method for in-situ inspection and processing of an object including providing a pulsed laser source during the in-situ inspection of a surface of the object for modifying at least one of an optical, mechanical, or chemical property of a first region of the surface, directing the laser source through an optics path to shape, position and focus a pulsed laser beam at the first region, directing a probe illumination light beam to the optics path to produce a combined and collinear optical light path of the probe illumination light beam and the pulsed laser beam to focus and deliver the combined and collinear optical light path at a same region on the surface, superimposing a first focus spot of the probe illumination light beam over a second focus spot of the pulsed laser beam on an illuminated region of the surface.
    Type: Grant
    Filed: March 1, 2021
    Date of Patent: January 2, 2024
    Inventors: Iraj Kavosh, Raya Kavosh
  • Patent number: 11852465
    Abstract: The disclosure provides a wafer inspection method and wafer inspection apparatus. The method includes: receive scanning information of at least one wafer, wherein the scanning information includes a plurality of haze values; the scanning information is divided into a plurality of information blocks according to the unit block, and the feature value of each of the plurality of information blocks is calculated according to the plurality of haze values included in each of the plurality of information blocks; and converting the feature value into a color value according to the haze upper threshold and the haze lower threshold, generating the color value corresponding to the at least one wafer according to the converted color value according to the feature value, whereby the color graph displays the texture content of the at least one wafer.
    Type: Grant
    Filed: January 27, 2022
    Date of Patent: December 26, 2023
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Shang-Chi Wang, Miao-Pei Chen, Han-Zong Wu, Chia-Chi Tsai, I-Ching Li
  • Patent number: 11798250
    Abstract: Systems and methods for evaluating the physical and/or cosmetic condition of electronic devices using machine learning techniques are disclosed. In one example aspect, an example system includes a kiosk that comprises an inspection plate configured to hold an electronic device, one or more light sources arranged above the inspection plate configured to direct one or more light beams towards the electronic device, and one or more cameras configured to capture at least one image of a first side of the electronic device. The system also includes one or more processors in communication with the one or more cameras configured to extract a set of features of the electronic device and determine, via a first neural network, a condition of the electronic device based on the set of features.
    Type: Grant
    Filed: February 18, 2020
    Date of Patent: October 24, 2023
    Assignee: ecoATM, LLC
    Inventors: John Silva, Babak Forutanpour
  • Patent number: 11788972
    Abstract: A method of automatically setting optical parameters, using Automatic Optical Inspection (AOI) System, the method includes the following steps. Firstly, a recommended object image is obtained when the AOI system is set under a first recommended optical parameter set. Then, a computation is performed on an object standard picture and a recommended object image to obtain a recommended error value between the object standard picture and the recommended object image according to an optimized error function. Then, whether the recommended error value converges is determined. Then, when the recommended error value does not converge, a computation is performed to obtain a second recommended optical parameter set according to the recommended error value and the first recommended optical parameter set. Then when the recommended error value converges, the first recommended optical parameter set is decided as an optimal optical parameter set of the AOI system.
    Type: Grant
    Filed: April 29, 2021
    Date of Patent: October 17, 2023
    Assignee: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chi-Chun Hsia, Ya-Chu Chuang
  • Patent number: 11752634
    Abstract: A system is disclosed for verification of the installation of a component such as a side curtain air bag into a vehicle passenger compartment where energy absorbing quarter-turn fasteners attached to the air bag are employed to affix hanger brackets to structural base plates in the vehicle. The system includes an image capturing camera, stored data in a machine readable form of an acceptable installation, a central processing unit to compare the captured images to the stored machine readable data and an output generator to create an output signal based on the results of the comparison.
    Type: Grant
    Filed: January 7, 2019
    Date of Patent: September 12, 2023
    Assignee: Illinois Tool Works Inc.
    Inventors: Stephen M. Everard, James T. Kirchen, Marc R. Risdale
  • Patent number: 11741758
    Abstract: An inspection station for manufactured components includes a framework and a plurality of cameras. The framework has of a plurality of vertically stacked and spaced apart plates. Each plate defines a central orifice. The central orifices of each plate are aligned along an axis. The manufactured components are configured to freefall through the central orifices defined by the plates. The plurality of cameras is secured to the framework. Each camera is focused toward a region within the framework to capture images of the manufactured components. The plurality of cameras is arranged in an array that extends radially about the axis. At least one of the cameras is positioned at an angle above a horizontal plane that is perpendicular to the axis and intersects the region within the framework. At least one of the cameras is positioned at an angle below the horizontal plane.
    Type: Grant
    Filed: October 27, 2020
    Date of Patent: August 29, 2023
    Assignee: General Inspection, LLC
    Inventor: Nathan Kujacznski
  • Patent number: 11740185
    Abstract: A method of detecting defects in a structure sample comprising a thin film layer and a sacrificial later is disclosed. The method comprises exposing the thin film layer to a vapour phase etchant, obtaining an image of the thin film layer and analysing the image. The vapour phase etchant enhances any defects present in the thin film layer by passing through the defect and etching a cavity within the sacrificial layer. The cavity undercuts the thin film layer resulting in a stress region surrounding the defect. Defects which were not originally detectable may be made detectable after exposure to the vapour phase etchant. A vapour phase etchant has the advantage of being highly mobile such that it can access defects that a liquid phase etchant might not. Furthermore, unlike a liquid phase etchant, a vapour phase etchant can be used to test a sample non-destructively.
    Type: Grant
    Filed: August 29, 2019
    Date of Patent: August 29, 2023
    Assignee: MEMSSTAR LIMITED
    Inventors: Anthony O'Hara, Daniel Drysdale
  • Patent number: 11733172
    Abstract: A dark-field optical system may include a rotational objective lens assembly with a dark-field objective lens to collect light from a sample within a collection numerical aperture, where the dark-field objective lens includes an entrance aperture and an exit aperture at symmetrically-opposed azimuth angles with respect to an optical axis, a rotational bearing to allow rotation of at least a part of the dark-field objective lens including the entrance aperture and the exit aperture around the optical axis, and a rotational driver to control a rotational angle of the entrance aperture. The system may also include a multi-angle illumination sub-system to illuminate the sample with an illumination beam through the entrance aperture at two or more illumination azimuth angles, where an azimuth angle of the illumination beam on the sample is selectable by rotating the objective lens to any of the two or more illumination azimuth angles.
    Type: Grant
    Filed: May 6, 2021
    Date of Patent: August 22, 2023
    Assignee: KLA Corporation
    Inventors: Anatoly Romanovsky, Jenn-Kuen Leong, Daniel Kavaldjiev, Chunhai Wang, Bret Whiteside, Zhiwei Xu
  • Patent number: 11675052
    Abstract: An electromagnetic wave detection apparatus 10 includes a separator 16, a first detector 17, a switching unit 18, and a second detector 20. The separator 16 is capable of switching between a separation state and a non-separation state. The separator 16 separates incident electromagnetic waves to travel in a first direction d1 and a second direction d2, in the separation state. The first detector 17 detects electromagnetic waves traveling in the first direction d1. The switching unit 18 includes a plurality of switching elements “se”. Each switching element “se” is capable of switching a traveling direction of electromagnetic waves traveling in the second direction d2 between a third direction d3 and a fourth direction d4. The second detector 20 detects electromagnetic waves traveling in the third direction d3.
    Type: Grant
    Filed: August 3, 2018
    Date of Patent: June 13, 2023
    Assignee: KYOCERA Corporation
    Inventors: Hiroki Okada, Eri Uchida, Yukitoshi Kanayama
  • Patent number: 11670481
    Abstract: To shorten a time required for evaluation of a recipe while suppressing an increase in a data amount. A charged particle beam device includes a microscope that scans a charged particle beam on a sample, detects secondary particles emitted from the sample, and outputs a detection signal and a computer system that generates a frame image based on the detection signal and processes an image based on the frame images. The computer system calculates a moment image between a plurality of the frame images, and calculates a feature amount data of the frame image based on a moment.
    Type: Grant
    Filed: September 29, 2021
    Date of Patent: June 6, 2023
    Assignee: Hitachi High-Tech Corporation
    Inventors: Motonobu Hommi, Satoru Yamaguchi, Kei Sakai, Hiroshi Nishihama
  • Patent number: 11593946
    Abstract: An image-capturing device includes: an illumination light source configured to emit illumination light to illuminate an object; a laser light source configured to emit laser light with a peak wavelength in a range of wavelengths absorbed or reflected by at least one region of the object; an imaging device configured to take an image of the object; a speckle variable device configured to change a speckle pattern in an image acquired by the imaging device over time; and an image processing device configured to process the image acquired by the imaging device, which includes: measuring a change over time in a intensity signal from each pixel constituting the image, and dividing an imaged region of the object into a plurality of portions based on a waveform of the change in the intensity signal over time.
    Type: Grant
    Filed: June 16, 2020
    Date of Patent: February 28, 2023
    Assignee: NICHIA CORPORATION
    Inventors: Tadaaki Miyata, Hideki Kondo
  • Patent number: 11573209
    Abstract: A non-destructive testing calibration system includes a first multi-axis robotic device having a first end effector, a second multi-axis robotic device having a second end effector. A calibration assembly includes an emitter arranged on the first end effector and a receiver arranged on the second end effector, where the emitter and the receiver exchange a calibration signal between the first robotic device and the second robotic device. A data processor and a memory storing instructions, which when executed causes the data processor to perform operations comprising: performing a calibration scan, where the calibration scan includes a plurality of measurement points along a scan path of the emitter and the receiver; measuring the deviation between the emitter and the receiver at each measurement point along the scan path; and determining a corrected scan path based on the deviation between the emitter and receiver at each measurement point during the calibration scan.
    Type: Grant
    Filed: March 17, 2022
    Date of Patent: February 7, 2023
    Assignee: Baker Hughes Oilfield Operations LLC
    Inventor: Andreas Franzen
  • Patent number: 11521312
    Abstract: An image processing apparatus performs an inspection on an assembly component with use of one or more images of an assembling work, and includes a work determination unit configured to determine, from the one or more images, one or both of a start frame and an end frame of assembling work captured at a change point of the assembling work, a selection unit configured to select frames to be inspected from the one or more images based on a result of the determination by the work determination unit, and an inspection unit configured to perform an inspection on the frames selected by the selection unit.
    Type: Grant
    Filed: April 4, 2019
    Date of Patent: December 6, 2022
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yumiko Uchida
  • Patent number: 11499983
    Abstract: [Task] To provide an automatic analysis apparatus including a photomultiplier tube which controls a sensitivity of the photomultiplier tube without adjusting a high voltage value. [Solution] An automatic analysis apparatus according to the present invention includes a photomultiplier tube which detects light from a reaction vessel; a determination unit which determines an output signal of the photomultiplier tube in a case where the photomultiplier tube is irradiated with first light; and a control unit which irradiates the photomultiplier tube with second light to lower a sensitivity of the photomultiplier tube in accordance with a determination result by the determination unit.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: November 15, 2022
    Assignee: Hitachi High-Tech Corporation
    Inventors: Shunichirou Nobuki, Koshin Hamasaki, Taku Sakazume, Yoshihiro Yamashita, Hidetsugu Tanoue, Tatsuki Takakura
  • Patent number: 11497400
    Abstract: The present invention relates to a hybrid imaging system for photodiagnosis and phototherapy and, more particularly, to a hybrid imaging system for photodiagnosis and phototherapy, which simultaneously acquires a visible ray image or a near-infrared ray image and a lonq wave infrared ray image by using an optical method. The hybrid imaging system for photodiagnosis and phototherapy according to the present invention includes a light distribution unit, a visible ray/near-infrared ray measurement unit, a long wave infrared ray measurement unit, and a light source unit, thereby simultaneously and quickly extracting a visible ray image, a near-infrared ray image, and a long wave infrared ray image without mutual distortion.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: November 15, 2022
    Inventors: Jaesung Ahn, Hyeong Ju Park, Anjin Park, Joo Beom Eom, Jonghyun Eom, Hong Lyel Jung
  • Patent number: 11493442
    Abstract: Various embodiments of the present invention relate to an apparatus and method for measuring the surface of an electronic device, the apparatus comprising: a seating portion on which the electronic device is seated; a first light source for irradiating first light on the surface of the electronic device; a first camera for photographing the surface using the first light; a second light source for irradiating second light on the surface of the electronic device; a second camera for photographing the surface using the second light; and an analyzer electronically connected to the first light source, the first camera, the second light source, and the second camera, wherein the analyzer is setup to analyze the color of the surface acquired using the first light source and the first camera; and the gloss of the surface acquired using the second light source and the second camera, so as to analyze the color and gloss of the surface of the electronic device using quantified and digitized data, thereby enabling qualit
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: November 8, 2022
    Assignees: SAMSUNG ELECTRONICS CO., LTD., 3D INDUSTRIAL IMAGING CO., LTD.
    Inventors: Jaeseon Kim, Kyunyeon Kim, Hyungbum Kim, Jongsu Lee, Youngjin Yi, Donghyeon Hwang
  • Patent number: 11449711
    Abstract: There is provided a method of defect detection on a specimen and a system thereof. The method includes: obtaining a runtime image representative of at least a portion of the specimen; processing the runtime image using a supervised model to obtain a first output indicative of the estimated presence of first defects on the runtime image; processing the runtime image using an unsupervised model component to obtain a second output indicative of the estimated presence of second defects on the runtime image; and combining the first output and the second output using one or more optimized parameters to obtain a defect detection result of the specimen.
    Type: Grant
    Filed: January 2, 2020
    Date of Patent: September 20, 2022
    Assignee: Applied Materials Isreal Ltd.
    Inventors: Ran Badanes, Ran Schleyen, Boaz Cohen, Irad Peleg, Denis Suhanov, Ore Shtalrid
  • Patent number: 11385280
    Abstract: An apparatus for inspecting an electronic device, includes: a placement table on which a substrate having the electronic device provided thereon is placed and including a refrigerant flow path; a light irradiation mechanism having LEDs directed to the substrate; and a controller for controlling heat absorption by the refrigerant and heating by light from the LEDs. The controller includes: a temperature information acquisition part for acquiring information on a temperature of the electronic device; a heating controller for performing the heating control based on the temperature of the electronic device as a current inspection object; and a heat absorption controller for estimating a transition of power applied to the electronic device at a next inspection based on a transition of the temperature of the electronic device in a past inspection, and performing the heat absorption control at a time of the next inspection.
    Type: Grant
    Filed: May 9, 2019
    Date of Patent: July 12, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Kasai, Yoshinori Fujisawa
  • Patent number: 11386539
    Abstract: A system and method for specimen examination, the system comprising a processing and memory circuitry (PMC) for: obtaining an image of at least a part of a specimen, the image acquired by an examination tool; receiving one or more characteristics of a defect of interest and a location of interest associated therewith; modifying within the image one or more pixels corresponding to the location of interest, wherein the modification is provided in accordance with a characteristic of the defect of interest, thereby planting the defect of interest into the image; processing the modified image to detect locations of potential defects of the specimen in accordance with a detection recipe; and determining whether the detected locations include the location of interest. Subject to the location of interest not being detected, modifying the detection recipe to enable detecting the planted defect of interest at the location of interest.
    Type: Grant
    Filed: May 29, 2019
    Date of Patent: July 12, 2022
    Assignee: Applied Materials Israel Ltd.
    Inventors: Elad Cohen, Yuri Feigin, Lior Katz, Eyal Neistein
  • Patent number: 11380560
    Abstract: The inventive concept relates to an apparatus and method for forming a film on a substrate by spin coating. The apparatus includes liquid dispensing units that dispense processing liquids to form liquid films on the first and second substrates, respectively, air-flow supply units that form downward air flows in the first and second spaces, respectively, and a controller that controls the liquid dispensing units and the air-flow supply units. Each of the liquid dispensing units includes a pre-treatment nozzle that dispenses a pre-treatment liquid and a coating solution nozzle that dispenses a coating solution onto a corresponding one of the first and second substrates. The controller controls the liquid dispensing units to dispense the pre-treatment liquids and thereafter the coating solutions onto the first and second substrates and adjusts supply states of the downward air flows according to amounts of the pre-treatment liquids dispensed.
    Type: Grant
    Filed: April 29, 2019
    Date of Patent: July 5, 2022
    Assignee: SEMES CO., LTD.
    Inventors: Ki Seung Lee, Choongki Min, Soo Hyun Cho, Okseong Lee
  • Patent number: 11379968
    Abstract: An inspection system includes an acquisition unit and a determination unit. The acquisition unit acquires an image representing a surface of an object. The determination unit performs color determination processing. The color determination processing is performed to determine a color of the surface of the object based on a plurality of conditions of reflection. The plurality of conditions of reflection are obtained from the image representing the surface of the object as acquired by the acquisition unit, and have a specular reflection component and a diffuse reflection component at respectively different ratios on the surface of the object.
    Type: Grant
    Filed: December 7, 2018
    Date of Patent: July 5, 2022
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Takanobu Ojima, Jeffry Fernando, Hideto Motomura
  • Patent number: 11366069
    Abstract: Disclosed is apparatus for inspecting a sample. The apparatus includes illumination optics for simultaneously directing a plurality of incident beams at a plurality of azimuth angles towards a sample and collection optics for directing a plurality of field portions of output light from two or more of the plurality of angles towards two or more corresponding sensors. The two or more sensors are arranged for receiving the field portions corresponding to two or more angles and generating two or more corresponding images. The apparatus further comprises a processor for analyzing the two or more images to detect defects on the sample.
    Type: Grant
    Filed: July 2, 2020
    Date of Patent: June 21, 2022
    Assignee: KLA-TENCOR CORPORATION
    Inventors: Guoheng Zhao, Sheng Liu, Ben-Ming Benjamin Tsai
  • Patent number: 11353798
    Abstract: The present invention has a computation device for measuring the dimensions of patterns formed on a sample on the basis of a signal obtained from a charged particle beam device. The computation device has a positional deviation amount calculation unit for calculating the amount of positional deviation in a direction parallel to a wafer surface between two patterns having different heights on the basis of an image acquired at a given beam tilt angle; a pattern inclination amount calculation unit for calculating an amount of pattern inclination from the amount of positional deviation using a predetermined relational expression for the amount of positional deviation and the amount of pattern inclination; and a beam tilt control amount calculation unit for controlling the beam tilt angle so as to match the amount of pattern inclination. The pattern measurement device sets the beam tilt angle to a calculated beam tilt angle, reacquires an image and measures the patterns.
    Type: Grant
    Filed: October 13, 2017
    Date of Patent: June 7, 2022
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takuma Yamamoto, Hiroya Ohta, Kenji Tanimoto, Yusuke Abe, Tomohiro Tamori, Masaaki Nojiri
  • Patent number: 11320406
    Abstract: A non-destructive testing calibration system includes a first multi-axis robotic device having a first end effector, a second multi-axis robotic device having a second end effector. A calibration assembly includes an emitter arranged on the first end effector and a receiver arranged on the second end effector, where the emitter and the receiver exchange a calibration signal between the first robotic device and the second robotic device. A data processor and a memory storing instructions, which when executed causes the data processor to perform operations comprising: performing a calibration scan, where the calibration scan includes a plurality of measurement points along a scan path of the emitter and the receiver; measuring the deviation between the emitter and the receiver at each measurement point along the scan path; and determining a corrected scan path based on the deviation between the emitter and receiver at each measurement point during the calibration scan.
    Type: Grant
    Filed: March 31, 2020
    Date of Patent: May 3, 2022
    Assignee: BAKER HUGHES OILFIELD OPERATIONS LLC
    Inventor: Andreas Franzen
  • Patent number: 11302555
    Abstract: A substrate processing apparatus includes a substrate transfer device that transfers a substrate accommodated in a substrate transfer container to a substrate holder; a substrate holder transfer stage that introduces the substrate holder into a reaction container; a substrate transfer controller that obtains a film thickness measurement result of the substrate, and determines a placing position of the substrate in the substrate holder by a model created in advance from the film thickness measurement result and a transfer position setting circuit; an information processing circuit that analyzes an eccentricity state from a film thickness variation state when a film thickness measurement result is newly obtained; a learning function circuit that updates the model from the eccentricity state; and an optimization function circuit that updates the placing position of the substrate by an updated model and the transfer position setting circuit.
    Type: Grant
    Filed: July 13, 2020
    Date of Patent: April 12, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Youngtai Kang, Yuichi Takenaga
  • Patent number: 11287366
    Abstract: A scanning microwave ellipsometer includes: a microwave ellipsometry test head including: a polarization controller; a transmission line; and a sensor that produces sensor microwave radiation, subjects a sample to the sensor microwave radiation, receives a sample reflected microwave radiation from the sample that results from subjecting the sample with the sample reflected microwave radiation, and produces a sensor-received microwave radiation from the sample reflected microwave radiation, wherein a polarization of the sensor microwave radiation is controlled by the polarization controller; an electrical signal measurement system that produces an electrical readout signal such that a magnitude of reflection coefficient ? and an angle of reflection coefficient ? of the sample reflected microwave radiation is determined from the electrical readout signal; and a position controller that adjusts a relative position of the sensor and the sample.
    Type: Grant
    Filed: May 1, 2020
    Date of Patent: March 29, 2022
    Assignee: GOVERNMENT OF THE UNITED STATES OF AMERICA, AS REPRESENTED BY THE SECRETARY OF COMMERCE
    Inventors: Christian John Long, Nathan Daniel Orloff, Nina Popovic Basta, Edward Joseph Garboczi
  • Patent number: 11287745
    Abstract: A reticle-masking structure is provided. The reticle-masking structure includes a magnetic substrate and a paramagnetic part disposed on the magnetic substrate. The paramagnetic part includes a plurality of fractions disposed on a plurality of protrusion structures. In some embodiments, the protrusion structures are irregularly arranged. A method for forming a reticle-masking structure and an extreme ultraviolet apparatus are also provided.
    Type: Grant
    Filed: December 14, 2020
    Date of Patent: March 29, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Ching-Hsiang Hsu, James Jeng-Jyi Hwang, Feng Yuan Hsu
  • Patent number: 11270430
    Abstract: Systems and methods increase the signal to noise ratio of optical inspection of wafers to obtain higher inspection sensitivity. The computed reference image can minimize a norm of the difference of the test image and the computed reference image. A difference image between the test image and a computed reference image is determined. The computed reference image includes a linear combination of a second set of images.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: March 8, 2022
    Assignee: KLA-TENCOR CORPORATION
    Inventors: Abdurrahman Sezginer, Xiaochun Li, Pavan Kumar, Junqing Huang, Lisheng Gao, Grace H. Chen, Yalin Xiong, Hawren Fang
  • Patent number: 11262661
    Abstract: A metrology tool for determining a parameter of interest of a structure fabricated on a substrate, the metrology tool comprising: an illumination optical system for illuminating the structure with illumination radiation under a non-zero angle of incidence; a detection optical system comprising a detection optical sensor and at least one lens for capturing a portion of illumination radiation scattered by the structure and transmitting the captured radiation towards the detection optical sensor, wherein the illumination optical system and the detection optical system do not share an optical element.
    Type: Grant
    Filed: June 5, 2019
    Date of Patent: March 1, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Nitesh Pandey, Arie Jeffrey Den Boef, Duygu Akbulut, Marinus Johannes Maria Van Dam, Hans Butler, Hugo Augustinus Joseph Cramer, Engelbertus Antonius Fransiscus Van Der Pasch, Ferry Zijp, Jeroen Arnoldus Leonardus Johannes Raaymakers, Marinus Petrus Reijnders
  • Patent number: 11243160
    Abstract: Systems and methods for producing a calibration standard for an optical analysis system (e.g., a diagnostic reader) from a live test sample are disclosed. The calibration standard may include an image reproduced on a substrate. The reproduced image may be a replication of a digital image of a live test sample captured using the optical analysis system and then digitally processed to be reproduced on the substrate. The image reproduced on the substrate may include at least one optical feature digitally added to the replication of the digital image of the live test sample. The added optical features may be used to allow for more robust calibration using the calibration standard.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: February 8, 2022
    Assignee: DETEKT BIOMEDICAL, LLC
    Inventors: Damon Vincent Borich, Michelle Silveyra, Alejandro Silveyra, Andrea Grbavac, Zwckxally Obregon
  • Patent number: 11237115
    Abstract: An appearance inspection device includes: a transparent conveyance body having a surface and a rear face and conveyed in a predetermined direction; an illumination device that irradiates with predetermined light an inspection area through which a plurality of objects pass, wherein the objects are arranged at predetermined intervals on a first face that is one of the surface and the rear face; a plurality of imaging devices each of which takes, along a predetermined direction, an image of part of side faces of the objects located in the inspection area, wherein the predetermined direction is inclined to both the surface and the rear face; and a processor that inspects an appearance of the side faces of the objects based on image data obtained by the imaging device.
    Type: Grant
    Filed: February 17, 2020
    Date of Patent: February 1, 2022
    Assignee: CKD CORPORATION
    Inventor: Tadashi Inoguchi
  • Patent number: 11237209
    Abstract: Apparatus for testing microelectronic components on a substrate, including a scanner operative to scan a light beam over a plurality of thin film transistors disposed on a substrate, one transistor at a time, so as to induce a photoconductive response in the plurality of transistors, one transistor at a time; current sensing circuitry operative, synchronously with said scanner, to measure an output induced by the photoconductive response associated with a transistor and to generate photoconductive response output values, the photoconductive response output values representing a photoconductive response induced by the light beam, for one transistor at a time from among the plurality of transistors; and diagnostic apparatus operative to analyze the electronic response output values and to characterize each of the transistors in accordance therewith.
    Type: Grant
    Filed: September 27, 2018
    Date of Patent: February 1, 2022
    Assignee: SHENZHEN CHINA STAR OPTOELECTRONICS SEMICONDUCTOR DISPLAY TECHNOLOGY CO., LTD.
    Inventor: Yalan Zheng
  • Patent number: 11231573
    Abstract: Position detection apparatus includes illumination optical system for illuminating target, detection optical system for forming image of the illuminated target illuminated on photoelectric converter, first array having first aperture stops, second array having second aperture stops, first driving mechanism for arranging the selected first aperture stop on pupil of the illumination optical system by driving the first array such that first aperture stop crossing optical axis of the illumination optical system moves in first direction, second driving mechanism for arranging the selected second aperture stop on pupil of the detection optical system by driving the second array such that second aperture stop crossing optical axis of the detection optical system moves in second direction. The first and second driving mechanisms fine-tune positions of the selected first and second aperture stops in the first and second directions, respectively.
    Type: Grant
    Filed: March 23, 2020
    Date of Patent: January 25, 2022
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Hironobu Fujishima, Hironori Maeda
  • Patent number: 11215567
    Abstract: Apparatus (1) for checking tyres, comprising: a support frame (2); a flange (3); and an acquisition system (4) of three-dimensional images of a surface of a tyre, the acquisition system being mounted on the support frame and comprising: a matrix camera (5), a linear laser source (7), and a reflecting surface (12) which intersects the propagation axis (9) of the linear laser beam and the optical axis (6) of the matrix camera (5), wherein a first angle (50) formed between a first section (14) and a second section (31) of the optical axis (6) mutually symmetrical with respect to a normal to the reflecting surface in the respective point of incidence to the reflecting surface, is obtuse, and wherein a second angle (51) formed between a first section (16) and a second section (32) of the propagation axis (9) mutually symmetrical with respect to a normal to the reflecting surface in the respective point of incidence to the reflecting surface, is obtuse.
    Type: Grant
    Filed: October 13, 2020
    Date of Patent: January 4, 2022
    Assignee: PIRELLI TYRE S.p.A.
    Inventors: Vincenzo Boffa, Alessandro Held, Fabio Regoli, Valeriano Ballardini, Giuseppe Casadio Tozzi
  • Patent number: 11198339
    Abstract: An apparatus for detecting and checking defects on a tire at the end of a production process, the apparatus comprising a workstation comprising a workbench comprising a rotating table for supporting a tire; a profilometer; a high-resolution color linear camera for scanning outer surfaces of tire tread and tire shoulders; mechanical supports for the profilometer and color linear camera; a data processor for storing and processing data detected by the profilometer and the color linear camera means, for providing a three-dimensional model of a tire, and for management of a database including parameters referring to surface characteristics of defect-free tires; an interface for facilitating interaction between an operator and the apparatus; wherein the profilometer and the color linear camera are configured to operate simultaneously and perform a full scan of all the profiles of inner and outer surfaces of a tire while the tire is in rotation at a controlled speed on the rotating table; and wherein the data proce
    Type: Grant
    Filed: February 14, 2018
    Date of Patent: December 14, 2021
    Inventors: Michele De Stasio, Salvatore Romano, Angelo Pagliuso
  • Patent number: 11200658
    Abstract: Methods and systems for combining information present in measured images of semiconductor wafers with additional measurements of particular structures within the measured images are presented herein. In one aspect, an image-based signal response metrology (SRM) model is trained based on measured images and corresponding reference measurements of particular structures within each image. The trained, image-based SRM model is then used to calculate values of one or more parameters of interest directly from measured image data collected from other wafers. In another aspect, a measurement signal synthesis model is trained based on measured images and corresponding measurement signals generated by measurements of particular structures within each image by a non-imaging measurement technique.
    Type: Grant
    Filed: June 27, 2019
    Date of Patent: December 14, 2021
    Assignee: KLA-Tencor Corporation
    Inventor: Stilian Ivanov Pandev
  • Patent number: 11189372
    Abstract: A system for verifying medication doses in a filled medication package comprises a camera(s), a contour light source(s), a set of relief light sources. A verification unit may be used for imaging a contour of the medication items, imaging a surface relief of the medication items, processing the images, confirming the content of the medication package relative to identification of a type of medication item and/or medication package using the processing of the images. An interface produces a verification output based on the confirmation by the verification unit.
    Type: Grant
    Filed: September 19, 2019
    Date of Patent: November 30, 2021
    Assignee: RX-V INC.
    Inventors: Frederic Poirier, Johnny Pietraroia, Kim Bourbonnais
  • Patent number: 11156819
    Abstract: A method for scanning along a substantially straight line (3D line) lying at an arbitrary direction in a 3D space with a given speed uses a 3D laser scanning microscope having a first pair of acousto-optic deflectors deflecting a laser beam in the x-z plane (x axis deflectors) and a second pair of acousto-optic deflectors deflecting the laser beam in the y-z plane (y axis deflectors) for focusing the laser beam in 3D. Further, a method for scanning a region of interest uses a 3D laser scanning microscope having acousto-optic deflectors for focusing a laser beam within a 3D space defined by an optical axis (Z) of the microscope and X, Y axes that are perpendicular to the optical axis and to each other.
    Type: Grant
    Filed: March 1, 2019
    Date of Patent: October 26, 2021
    Inventors: Balazs Rozsa, Gergely Katona, Pal Maak, Mate Veress, Andras Feher, Gergely Szalay, Peter Matyas
  • Patent number: 11151708
    Abstract: The present invention relates to a print quality inspection apparatus comprising: an illuminator (35 or 35A-35C) for irradiating light onto a printout (1) provided with a motion thread (1b); a camera (34 or 34A) for capturing an image of the printout; and a print quality inspection unit (10) for inspecting the print quality of the printout (1) on the basis of image data captured by the camera (34 or 34A). The camera (34) captures images of printouts (1) for each RGB color, and the print quality inspection unit (10) performs a print quality inspection having a scope that includes the motion thread (1b) on the basis of image data of a color of the same hue as the color of the motion thread (1b), or the illuminator (35A-35C) emits a color of the same hue as the color of the motion thread (1b), and the monochrome camera (34A) captures a monochrome image.
    Type: Grant
    Filed: September 21, 2017
    Date of Patent: October 19, 2021
    Assignee: KOMORI CORPORATION
    Inventor: Kentaro Ohama
  • Patent number: 11145045
    Abstract: An image processing method is performed by a computer, for determining that a line is a crack or something other than the crack. The method includes: extracting a linear region from an image of an object captured by an imaging apparatus; determining a luminosity change in a direction traversing the linear region at each of a plurality of positions separate in a longitudinal direction of the linear region; and identifying a type of the linear region based on the luminosity changes at the plurality of positions.
    Type: Grant
    Filed: May 20, 2019
    Date of Patent: October 12, 2021
    Assignee: FUJITSU LIMITED
    Inventors: Yusuke Nakanishi, Hideyuki Kikuchi, Koichi Oikawa
  • Patent number: 11120539
    Abstract: A method for scanning and analyzing a surface, the method comprising: receiving a piece of equipment with a target surface for inspection; receiving an input from a user; determining at least one scan parameter based on the user input; scanning the target surface using an optical detector in accordance with the at least one scan parameter; generating an image of the target surface; correcting the image of the target surface to remove at least one undesired feature to generate a corrected image based on the at least one scan parameter; and analyzing the corrected image to determine at least one geometric parameter of the target surface.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: September 14, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sheng-Hsiang Chuang, Jiao-Rou Liao, Cheng-Kang Hu, Shou-Wen Kuo, Jiun-Rong Pai, Hsu-Shui Liu
  • Patent number: 11112304
    Abstract: Calibrating a spectrometer module includes performing measurements using the spectrometer module to generate wavelength-versus-operating parameter calibration data for the spectrometer module, performing measurements using the spectrometer module to generate optical crosstalk and dark noise calibration data for the spectrometer module, and performing measurements using the spectrometer module to generate full system response calibration data, against a known reflectivity standard, for the spectrometer module. The method further includes storing in memory, coupled to the spectrometer module, a calibration record that incorporates the wavelength-versus-operating parameter calibration data, the optical crosstalk and dark noise calibration data, and the full system response calibration data, and applying the calibration record to measurements by the spectrometer module.
    Type: Grant
    Filed: May 3, 2018
    Date of Patent: September 7, 2021
    Assignee: Heptagon Micro Optics Pte. Ltd.
    Inventors: Kotaro Ishizaki, Javier Miguel-Sánchez, Peter Roentgen
  • Patent number: 11094051
    Abstract: The present invention relates to a print quality inspection apparatus comprising: an illuminator (35 or 35A-35C) for irradiating light onto a printout (1) provided with a motion thread (1b); a camera (34 or 34A) for capturing an image of the printout; and a print quality inspection unit (10) for inspecting the print quality of the printout (1) on the basis of image data captured by the camera (34 or 34A). The camera (34) captures images of printouts (1) for each RGB color, and the print quality inspection unit (10) performs a print quality inspection having a scope that includes the motion thread (1b) on the basis of image data of a color of the same hue as the color of the motion thread (1b), or the illuminator (35A-35C) emits a color of the same hue as the color of the motion thread (1b), and the monochrome camera (34A) captures a monochrome image.
    Type: Grant
    Filed: June 3, 2020
    Date of Patent: August 17, 2021
    Assignee: KOMORI CORPORATION
    Inventor: Kentaro Ohama
  • Patent number: 11060846
    Abstract: Methods and systems for measuring optical properties of transistor channel structures and linking the optical properties to the state of strain are presented herein. Optical scatterometry measurements of strain are performed on metrology targets that closely mimic partially manufactured, real device structures. In one aspect, optical scatterometry is employed to measure uniaxial strain in a semiconductor channel based on differences in measured spectra along and across the semiconductor channel. In a further aspect, the effect of strain on measured spectra is decorrelated from other contributors, such as the geometry and material properties of structures captured in the measurement. In another aspect, measurements are performed on a metrology target pair including a strained metrology target and a corresponding unstrained metrology target to resolve the geometry of the metrology target under measurement and to provide a reference for the estimation of the absolute value of strain.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: July 13, 2021
    Assignee: KLA Corporation
    Inventors: Houssam Chouaib, Aaron Rosenberg, Kai-Hsiang Lin, Dawei Hu, Zhengquan Tan
  • Patent number: 11047807
    Abstract: There may be provided a method for determining three dimensional (3D) defect information, the method may include performing a two-dimensional (2D) inspection of an area of a wafer to generate 2D defect information related to defects of the area of the wafer; estimating 3D defect information regarding the defects of the area of the wafer, wherein the estimating is based on the 2D defect information related to defects of the area of the wafer, and a mapping between 2D defect information and 3D defect information, wherein the mapping is generated using a supervised deep learning machine process.
    Type: Grant
    Filed: March 25, 2020
    Date of Patent: June 29, 2021
    Assignee: CAMTEK LTD.
    Inventor: Daniel Buzaglo