Detection Of Object Or Particle On Surface Patents (Class 356/237.3)
  • Patent number: 11461885
    Abstract: A method of inspecting a substrate, includes: creating a model indicating a relation between a pixel value in a captured image of the substrate and a feature amount of a film on the substrate, based on a measured feature amount of a film on a creating substrate and a captured image generated by imaging the creating substrate by an apparatus in a first system; imaging an object substrate by an apparatus in a second system to generate a captured image, and calculating an estimated feature amount of a film on the object substrate, based on the captured image and the model; calculating a statistical value of the estimated feature amounts of the object substrates; and calculating an offset amount for the estimated feature amount from a measured feature amount of a film formed by performing a same treatment on an offset substrate in the second system and the statistical value.
    Type: Grant
    Filed: May 29, 2020
    Date of Patent: October 4, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Toyohisa Tsuruda, Hiroshi Tomita
  • Patent number: 11354798
    Abstract: A defect inspecting apparatus includes a reference image generator configured to generate a first reference image and a second reference image from design layout data. An image inspector is configured to obtain a first inspection image of a first inspection region of a photomask and a second inspection image of a second inspection region of the photomask. An operation processor is configured to extract a first coordinate offset by comparing the first inspection image with the first reference image and to extract a second coordinate offset by comparing the second inspection image with the second reference image.
    Type: Grant
    Filed: May 28, 2020
    Date of Patent: June 7, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kang Won Lee, Cheol Ki Min, Jong Ju Park, Hyon Seok Song
  • Patent number: 11340061
    Abstract: Provided is a measuring device configured to measure an outer shape of a metal plate containing a magnetic body. The measuring device includes a stage on a front face of which the metal plate is set, a light source configured to radiate light toward the stage, an image acquisition unit configured to acquire an image of the metal plate, a plurality of magnetic force generation units configured to generate magnetic force on a back face side of the stage, and a controller configured to control positions at which the magnetic force is generated.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: May 24, 2022
    Assignee: SHARP KABUSHIKI KAISHA
    Inventor: Masahiro Inuzuka
  • Patent number: 11300506
    Abstract: A droplet sensor has an optical cover with a curved surface that forms a part of a spheroid, a light source positioned at a first focal point of an ellipse, the first focal point facing the curved surface, and a photodetector positioned at a second focal point of the ellipse. The ellipse has an eccentricity determined such that the curved surface has an effective detection area that satisfies conditions for total internal reflection at an interface with a gas and that does not satisfy the conditions for total internal reflection at an interface with a liquid.
    Type: Grant
    Filed: November 7, 2018
    Date of Patent: April 12, 2022
    Assignee: MITSUMI ELECTRIC CO., LTD.
    Inventors: Yuya Kawasaki, Hideo Kurosawa
  • Patent number: 11300525
    Abstract: Wafer inspection apparatuses and methods are described. The wafer inspection apparatus includes an optical module, at least one wafer holder for carrying a plurality of wafers, and a plurality of optical sensors. The optical module is configured to emit a plurality of light beams for simultaneously scanning the plurality of wafers carried by the at least one wafer holder. The plurality of optical sensors is configured to receive the light beams reflected by the plurality of wafers.
    Type: Grant
    Filed: March 2, 2020
    Date of Patent: April 12, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Chung-Pin Chou
  • Patent number: 11248962
    Abstract: A method of analyzing foreign matter in a sample includes: measuring an optical spectrum for each of a plurality of measurement points of a measurement region on the sample by a microscopic spectroscope; calculating a feature value of each measured spectrum by a computer; determining whether each of the measurement points is on the foreign matter or not based on each feature value; retaining the spectrum of the measurement point that is determined to be on the foreign matter, and deleting the spectrum of the measurement point that is not determined to be on the foreign matter or storing the same to a storage unit; and executing multivariate analysis of the spectra of the plurality of the measurement points that are determined to be on the foreign matter or classifying the same with AI search.
    Type: Grant
    Filed: January 6, 2021
    Date of Patent: February 15, 2022
    Assignee: JASCO CORPORATION
    Inventors: Kento Aizawa, Yoshiko Kubo, Erika Taira, Yuji Higuchi
  • Patent number: 11164768
    Abstract: A controller is configured to perform at least a first characterization process prior to at least one discrete backside film deposition process on a semiconductor wafer; perform at least an additional characterization process following the at least one discrete backside film deposition process; determine at least one of a film force or one or more in-plane displacements for at least one discrete backside film deposited on the semiconductor wafer via the at least one discrete backside film deposition process based on the at least the first characterization process and the at least the additional characterization process; and provide at least one of the film force or the one or more in-plane displacements to at least one process tool via at least one of a feed forward loop or a feedback loop to improve performance of one or more fabrication processes.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: November 2, 2021
    Assignee: KLA Corporation
    Inventors: Pradeep Vukkadala, Mark D. Smith, Ady Levy, Prasanna Dighe, Dieter Mueller
  • Patent number: 11054250
    Abstract: An overlay metrology system includes a multi-channel energy unit that selectively operates in a first mode to deliver first photons having a first wavelength to an object under test, and a second mode to deliver second photons to the object under test. The second photons have a second wavelength different from the first wavelength. The overlay metrology system further includes an electronic controller that selectively activates either the first mode or the second mode based at least in part on at least one characteristic of an object under test, and that generates the first protons or the second photons to detect at least one buried structure included in the object under test.
    Type: Grant
    Filed: April 11, 2018
    Date of Patent: July 6, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Gangadhara Raja Muthinti, Chiew-Seng Koay, Siva Kanakasabapathy, Nelson Felix
  • Patent number: 10969442
    Abstract: A test system is characterized by a holding unit that holds a light-receiving unit receiving light emitted from a test object and an optical path forming unit that is formed with an optical transmission path as a path of the light received by the light-receiving unit, in which the optical transmission path is formed of a different member from the light-receiving unit. Since the test system has such a configuration, replacement of the light-receiving unit that receives the light emitted from the test object can be facilitated.
    Type: Grant
    Filed: August 3, 2018
    Date of Patent: April 6, 2021
    Assignee: Kabushiki Kaisha Nihon Micronics
    Inventor: Osamu Arai
  • Patent number: 10935502
    Abstract: A method for detecting inconsistencies in an assembly using an energy source and an imaging device is disclosed. An energy source directs energy through first scanning mirrors toward a surface of the laminated composite assembly raising an energy level of an inspection area. An imaging device directs a view through second scanning mirrors toward the inspection area and the imaging device detects a rate of change in energy at the surface of the laminated composite. Movement of the first scanning mirrors is synchronized with movement of the second scanning mirrors for directing a view of the imaging device to the inspection area after the energy level of the area of the surface has been raised. The imaging device detects dissipation of energy at the area of the surface being inspected and identifies inconsistencies associated defects in the assembly.
    Type: Grant
    Filed: April 9, 2019
    Date of Patent: March 2, 2021
    Assignee: VIRTEK VISION INTERNATIONAL, ULC
    Inventor: Kurt D. Rueb
  • Patent number: 10876976
    Abstract: The present disclosure provides an apparatus for substrate inspection, including a chamber, a movable holder in the chamber and configured to hold a substrate and transfer the substrate between a first position and a second position, a first inspector under the first position and the second position in the chamber, and configured to inspect a backside of the substrate, a lifter under the second position in the chamber, and configured to support the substrate and move the substrate from the second position to a third position, and a second inspector near the third position in the chamber and configured to inspect an edge of the substrate at the third position.
    Type: Grant
    Filed: August 30, 2018
    Date of Patent: December 29, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Bo-Han Shih, Sheng-Hsiang Chuang, Hsu-Shui Liu, Jiun-Rong Pai, Shou-Wen Kuo
  • Patent number: 10877382
    Abstract: A method for handling a mask is provided in accordance with some embodiments of the present disclosure. The method includes determining whether a particle is present on a contact surface of a mask. The mask is cleaned to remove the particle from the contact surface of the mask if the particle is present on the contact surface. The mask is disposed on a chuck after cleaning the mask, wherein the contact surface of the mask contacts the chuck when the mask is disposed on the chuck. A lithography process is performed using the mask disposed on the chuck.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: December 29, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ju-Wei Liao, Chi-Hung Liao
  • Patent number: 10739276
    Abstract: Stray and air scattered light can be reduced by configuring a size of the collection area of a sensor, which reduces a source of sensitivity-limiting noise in the system. By adjusting a size of the collection area, stray deep ultraviolet light and air-scattered deep ultraviolet light can be reduced. A servo can control a position of an illumination spot that is collected by the time delay and integration sensor.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: August 11, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Donald Pettibone, Daniel Ivanov Kavaldjiev, Chuanyong Huang, Qing Li, Frank Li, Zhiwei Xu
  • Patent number: 10648926
    Abstract: A method for detecting degree of particulate contamination on a flat panel mainly includes the following steps: illuminating a to-be-detected flat panel (40) by using a light source module (10), to form an illumination field; adjusting a half width of the illumination field; adjusting a luminous intensity at a center of the illumination field and a luminous intensity at an edge of the half width of the illumination field; adjusting a light intensity and a position of the light source, as well as a position of a detector (20); and acquiring signals from foreign objects on the flat panel by using the detector (20). This method greatly alleviates particle mirror crosstalk and crosstalk of patterns on the lower surface of the flat panel, and improves the SNR, thus enhancing the accuracy in detection of foreign objects on the flat panel.
    Type: Grant
    Filed: September 26, 2017
    Date of Patent: May 12, 2020
    Assignee: SHANGHAI MICRO ELECTRONICS EQUIPMENT (GROUP) CO., LTD.
    Inventors: Xueshan Han, Yongqiang Shen
  • Patent number: 10439446
    Abstract: A foreign object detection apparatus using a mobile laser in a wireless power transfer (WPT) system may comprise a laser transmitting part installed on one side of an upper portion of a transmission pad to generate a laser; a laser receiving part installed on an opposite side to the one side, and receiving the laser generated by the laser transmitting part; and a laser moving part for moving the laser transmitting part and the laser receiving part along the one side or the opposite side of the transmission pad.
    Type: Grant
    Filed: January 2, 2018
    Date of Patent: October 8, 2019
    Assignees: HYUNDAI MOTOR COMPANY, KIA MOTORS CORPORATION, RESEARCH & BUSINESS FOUNDATION SUNGKYUNKWAN UNIVERSITY
    Inventors: Jae Eun Cha, Gyu Yeong Choe, Woo Young Lee, Byoung Kuk Lee, Min Jung Kim, Min Kook Kim, Jong Eun Byun, Sang Joon Ann
  • Patent number: 10401305
    Abstract: An optical reticle inspection tool is used during a first inspection to obtain, for each set of one or more patch areas of the reticle, a reference average of multiple reference intensity values corresponding to light measured from sub-areas of each patch area. After using the reticle in photolithography processes, the optical reticle inspection tool is used during a second inspection to obtain, for each set of one or more patch areas, an average of multiple test intensity values corresponding to light measured from the sub-areas. The first and second inspections use the same tool setup recipe. A difference intensity map is generated, and such map comprises map values that each corresponds to a difference between each average of the test and reference intensity values for each set of one or more patches. The difference intensity map indicates whether the reticle has degraded over time more than a predefined level.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: September 3, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Carl E. Hess, Rui-fang Shi, Thomas Vavul
  • Patent number: 10379057
    Abstract: An illumination system, an inspection tool and a method for inspecting an object are disclosed. A configurable area light source is arranged in an illumination optical axis of an illumination beam path, wherein the configurable area light source is configured such that different beam diameters are settable. At least one illumination lens is positioned in the illumination beam path for directing a collimated beam at least onto a field of view on a surface of the object, wherein a value of an angle of incidence of the illumination optical axis of the illumination beam path equals a value of an angle of reflectance of the imaging optical axis of the imaging beam path. The invention allows the combination of the functionality of a wide angle coaxial illumination and a collimated coaxial illumination in one illumination system.
    Type: Grant
    Filed: December 30, 2015
    Date of Patent: August 13, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Filip Cauwenberghs, Johan DeGreeve, Pauline Begoc, Koen Goorman
  • Patent number: 10249034
    Abstract: A method of adjusting a sensitivity parameter value for substrate defect inspection used in a substrate defect inspection apparatus compares, for each pixel value of a selected virtual inspection substrate, using reference pixel data to be used after adjustment, the deviation amount from an allowable range corresponding to the position thereof and the sensitivity parameter value before the adjustment when each pixel value is deviated from the allowable range, and updates the deviation amount as a new sensitivity parameter value when the deviation amount exceeds the sensitivity parameter value and a difference between the deviation amount and the sensitivity parameter value is equal to or less than a threshold value.
    Type: Grant
    Filed: June 27, 2017
    Date of Patent: April 2, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Yasuhiro Kitada, Izumi Hasegawa, Hiroshi Tomita, Kousuke Nakayama, Tadashi Nishiyama
  • Patent number: 10229310
    Abstract: The present technology provides methods, systems, and apparatuses to achieve high throughput and high speed acquisition of partial wave spectroscopic (PWS) microscopic images. In particular, provided herein are high-throughput, automated partial wave spectroscopy (HT/A-PWS) instruments and systems capable of rapid acquisition of PWS Microscopic images and clinical, diagnostic, and research applications thereof.
    Type: Grant
    Filed: October 26, 2017
    Date of Patent: March 12, 2019
    Assignee: NORTHWESTERN UNIVERSITY
    Inventors: Vadim Backman, Hariharan Subramanian, John E. Chandler, Craig White, Jeremy D. Rogers, Lusik Cherkezyan
  • Patent number: 10210605
    Abstract: The present invention relates to a method and a device for determining regions to be detected on a display motherboard. The method comprises acquiring a first image, acquiring detection parameters of the regions to be detected, acquiring second images which have a resolution higher than that of the first image, and correcting obtained detection parameters based on the second images. Compared with the prior art, the present invention can improve accuracy and efficiency of detection of a display motherboard.
    Type: Grant
    Filed: March 25, 2016
    Date of Patent: February 19, 2019
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Xiujuan Cui, Jingjing Li, Juan Li, Guilin Liu, Hongyan Zhang, Shanshan Yu, Qiming Li
  • Patent number: 10166630
    Abstract: An optical measuring device for monitoring a joint seam, joining head and laser welding head. The optical measuring device monitors a joining region in a workpiece and has at least one light-section device with a first light source for casting a light fan in the direction of the workpiece to be joined, making a triangulation light line within the joining region which intersects a joint seam. An illumination device with a second light source homogeneously illuminates the joining region. A first optical sensor with a first observation beam path for spatially resolved imaging of the triangulation light line is projected onto the joint seam. A second optical sensor with a second observation beam path for spatially resolved imaging of the joint seam is coaxially coupled with the first observation beam path. The readout rate of the first and second optical sensors is >1 kHz and <500 Hz, respectively.
    Type: Grant
    Filed: June 15, 2012
    Date of Patent: January 1, 2019
    Assignee: PRECITEC KG
    Inventor: Joachim Schwarz
  • Patent number: 10139370
    Abstract: An inspection device includes a light source, an MO crystal disposed to face a semiconductor device (D), an object lens configured to concentrate the light output from the light source onto the MO crystal, a holder configured to hold the MO crystal, a flexible member interposed between the MO crystal and the holder, and an object lens drive unit configured to cause the MO crystal to contact the semiconductor device (D) by causing the holder to be moved in the optical axis direction of the object lens, wherein, when the MO crystal contacts the semiconductor device (D), the flexible member is bent, so that an incident plane is inclined in a range in which an inclination angle of the incident plane of the light in the MO crystal with respect to a plane orthogonal to the optical axis is less than or equal to an aperture angle.
    Type: Grant
    Filed: June 2, 2015
    Date of Patent: November 27, 2018
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventor: Tomonori Nakamura
  • Patent number: 10094786
    Abstract: An optical distance sensor includes a foreign matter detector that utilizes a coaxial optical system for distance measurement as well as utilizing a first pulse and a second pulse respectively caused as an internal reflection of a front screen and as a reflection from a detection object for a detection of foreign matter deposit on the front screen based on a difference of light amounts between the first and second pulse. The foreign matter detector determines that the optical distance sensor is in a normal state, in an obstacle cover state or in a foreign matter deposit state respectively corresponding to three states of the front screen (i.e., (i) having no foreign matter deposit, (ii) having a covering obstacle, or (iii) having a foreign matter deposit).
    Type: Grant
    Filed: March 10, 2016
    Date of Patent: October 9, 2018
    Assignee: DENSO WAVE INCORPORATED
    Inventor: Yuki Hasegawa
  • Patent number: 10054551
    Abstract: An inspection system that may include an illumination module that may be configured to scan a sample during multiple scan iterations; wherein during each scan iteration the illumination module scans each beam of a plurality of spaced apart beams along a scan line; a mechanical stage that may be configured to move the sample during the multiple scan iterations; a detection module; and a processor; wherein when the inspection system operates in an interlaced mode, the mechanical stage may be configured to move at a first speed thereby preventing a substantial overlap between scan lines obtained during the multiple scan iterations; wherein when the inspection system operates in a non-interlaced mode: the mechanical stage may be configured to move at a second speed that differs from the first speed thereby introducing an overlap between scan lines of different beams that may be obtained during different scan iterations; the detection module may be configured to generate detection signals in response to a detectio
    Type: Grant
    Filed: April 20, 2016
    Date of Patent: August 21, 2018
    Assignee: Applied Materials Israel Ltd.
    Inventors: Boris Golberg, Ron Naftali
  • Patent number: 9995690
    Abstract: Systems and methods are disclosed relating to composite photonic materials used to design structures and detecting material deformation for the purpose of monitoring structural health of physical structures. According to one aspect, a composite structure is provided that includes a base material, an optical diffraction grating and one or more fluorophore materials constructed such that localized perturbations create a measureable change in the structure's diffraction pattern. An inspection device is also provided that is configured to detect perturbations in the composite structure. The inspection device is configured to emit an inspecting radiation into the structure and capture the refracted radiation and measure the change in the diffraction pattern and quantify the perturbation based on the wavelength and the angular information for the diffracted radiation.
    Type: Grant
    Filed: November 7, 2017
    Date of Patent: June 12, 2018
    Assignee: SAUDI ARABIAN OIL COMPANY
    Inventors: Enrico Bovero, Abdullah S. Al-Ghamdi, Abdullah A. Al-Shahrani
  • Patent number: 9978567
    Abstract: Provided are an apparatus and a method of treating a substrate using process gas. The apparatus may include a chamber configured to provide a treatment space, in which a process of treating a substrate is performed, a detection unit configured to detect an amount of reaction by-products attached on an inner surface of the chamber. The detection unit may include a window member provided on the inner surface of the chamber, and a light source member configured to emit and receive light through the window member.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: May 22, 2018
    Assignee: SEMES Co., Ltd.
    Inventors: Yong-Hyun Ham, Hyung Je Woo, Hyun Joong Kim, Wan-Jae Park, Kyu Young Han
  • Patent number: 9903822
    Abstract: Provided herein is an apparatus including a photon emitter configured to emit photons onto a surface of an article. In addition, the apparatus includes a photon detector array configured to receive photons scattered from surface features and magnetic features of the article. The photon detector array is configured to provide information for mapping the magnetic features.
    Type: Grant
    Filed: November 6, 2015
    Date of Patent: February 27, 2018
    Assignee: Seagate Technology LLC
    Inventors: Joachim Walter Ahner, David M. Tung, Stephen Keith McLaurin
  • Patent number: 9869639
    Abstract: Provided herein is an apparatus including a photon emitter array. The photon emitter array includes a number of photon emitters selectively oriented in a number of polarized orientations. The photon emitters are configured to controllably emit photons onto a surface of an article. The apparatus also includes a photon detector positioned to receive photons scattered from features on the surface of the article. The photon detector simultaneously receives photons oriented in the number of polarized orientations. In addition, the photon detector provides information for mapping the features on the surface of the article.
    Type: Grant
    Filed: November 30, 2016
    Date of Patent: January 16, 2018
    Assignee: Seagate Technology LLC
    Inventors: Joachim Walter Ahner, David Marcus Tung
  • Patent number: 9865046
    Abstract: In order to achieve highly precise alignment of inspection images when integrating a plurality of inspection images having different imaging conditions to improve inspection performance, and in order to achieve highly precise alignment of images acquired at different inspection angles and different polarization states, an inspection device is configured to comprise: an image acquiring unit that acquires image data, under a plurality of imaging conditions, for a sample; a feature extracting unit that extracts at least one feature point; a position correction calculating unit that calculates, on the basis of the feature point, the amount of position correction for the plurality of image data sets; a position correcting unit that corrects the position of the plurality of image data sets with the amount of position correction; and an integrating unit that detects defects by integrating a plurality of data sets for which position correction is done.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: January 9, 2018
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Takahiro Urano, Toshifumi Honda
  • Patent number: 9846934
    Abstract: Tracking patterns during a semiconductor fabrication process includes: obtaining an image of a portion of a fabricated device; extracting contours of the portion of the fabricated device from the obtained image; aligning the extracted contour to a matching section of a reference design; decomposing the matching section of the reference design into one or more patterns; and updating a pattern tracking database with information pertaining to at least one pattern in the one or more patterns generated as a result of the decomposition.
    Type: Grant
    Filed: March 10, 2016
    Date of Patent: December 19, 2017
    Assignee: Anchor Semiconductor Inc.
    Inventors: Khurram Zafar, Chenmin Hu, Ye Chen, Yue Ma, Chingyun Hsiang, Justin Chen, Raymond Xu, Abhishek Vikram, Ping Zhang
  • Patent number: 9810633
    Abstract: Provided herein is an apparatus, including a photon emitter configured to emit photons onto a surface of an article, a photon detector array configured to receive photons from surface features of the article; and a processing means configured for processing photon-detector-array signals corresponding to photons scattered from the surface features and photons fluoresced from the surface features, wherein the processing means is further configured for classifying the surface features of the article.
    Type: Grant
    Filed: February 18, 2016
    Date of Patent: November 7, 2017
    Assignee: Seagate Technology LLC
    Inventors: Joachim Walter Ahner, David M. Tung, Samuel Kah Hean Wong, Henry Luis Lott, Stephen Keith McLaurin, Maissarath Nassirou, Florin Zavaliche
  • Patent number: 9664212
    Abstract: A method for evaluating a vessel for suitability to contain a fluid includes providing a vessel and forming a polished surface portion of the vessel by removing oxidation and/or contaminants from a portion of the vessel. The method further includes applying a focused laser to the polished surface portion to form plasma on the polished surface portion, and determining whether the vessel is suitable for containing a fluid based on silicon content of the polished surface portion. The silicon content is estimated based on light emitted from the plasma.
    Type: Grant
    Filed: March 4, 2015
    Date of Patent: May 30, 2017
    Assignees: CHEVRON U.S.A. INC., LOS ALAMOS NATIONAL SECURITY, LLC
    Inventors: James E. Barefield, II, Elizabeth J. Judge, Loan A. Le, Leon N. Lopez, Andrew C. Beveridge, Daniel R. Chapman, Seth T. Taylor
  • Patent number: 9645095
    Abstract: A method, system and computer-readable storage medium are provided to facilitate inspection of a composite part during manufacture. In the context of a system, a system for inspecting a composite part during manufacture is provided that includes an inspection system configured to detect an in-process anomaly with respect to a ply of the composite part during placement of the ply. The system also includes a computing system configured to determine part location coordinates of the in-process anomaly detected by the inspection system with respect to the ply of the composite part. The computing system is also configured to map the in-process anomaly to a digital part model based upon the part location coordinates. The system additionally includes a display, responsive to the computing system, configured to present a representation of the digital part model including an indication of the in-process anomaly relative thereto.
    Type: Grant
    Filed: October 6, 2014
    Date of Patent: May 9, 2017
    Assignee: The Boeing Company
    Inventors: Roger W. Engelbart, James W. Fonda, Allen Halbritter
  • Patent number: 9628650
    Abstract: In an image reading device, a reading unit reads information at a reading position. The reading position is selected from lines arranged in a prescribed range in a sub-scanning direction. A processor detects whether there is a defective pixel in one line worth of pixels and stores a position of the detected defective pixel as a defective position in a storing unit. The processor calculates defective factors for normal positions. Each of the normal positions indicates one of the plurality of lines having no defective pixel. The closer a distance between the normal position and a defective position positioned within a specific range from the normal position is, the larger a defective factor of the normal position is set. The processor sets a position having a smallest defective factor from among the normal positions. The reading unit reads the original conveyed by the sheet conveying unit at the set position.
    Type: Grant
    Filed: November 20, 2015
    Date of Patent: April 18, 2017
    Assignee: Brother Kogyo Kabushiki Kaisha
    Inventor: Naoyuki Nakamura
  • Patent number: 9500586
    Abstract: An apparatus that detects a material within a sample includes signal generation circuitry that generates a first signal having at least one orbital angular momentum applied thereto and applies the first signal to the sample. A detector receives the first signal after the first signal passes through the sample and detects the material responsive to a detection of a predetermined profile of orbital angular momentum states within the first signal received from the sample.
    Type: Grant
    Filed: September 1, 2015
    Date of Patent: November 22, 2016
    Assignee: NXGEN PARTNERS IP, LLC
    Inventors: Solyman Ashrafi, Roger Linquist
  • Patent number: 9465998
    Abstract: An object detecting device includes an image acquiring unit which acquires an image from a camera, a scanning interval calculating unit which calculates a scanning interval when a scanning window is scanned on the image based on a size on the image of a detection object that is detected by the detecting window, a scanning unit which scans on the image using the scanning interval that is calculated by the scanning interval calculating unit, and a detecting unit which determines whether the detection object is present within the scanned detecting window.
    Type: Grant
    Filed: May 29, 2013
    Date of Patent: October 11, 2016
    Assignee: Hitachi, Ltd.
    Inventors: Yuan Li, Masanori Miyoshi, Masaya Itoh, Ryo Yumiba, Shunichi Kaneko, Hironobu Fujiyoshi
  • Patent number: 9436990
    Abstract: The present invention is detection of a defect signal which is small enough to be buried in a background noise, by a method that includes detecting a defect on a specimen which is detected by another inspection device by using a detection device equipped with an optical microscope, amending positional information of the defect, observing the defect by using an SEM, wherein the detecting the defect is carried out such that forming stationary waves on the specimen by irradiating the specimen with two illumination lights having the same wavelength from the opposite directions on the same incidence plane at the same incidence angle and cause the two illuminating light to interfere; removing scattered components generated by minute irregularities on the specimen surface by a spatial filter, detecting an image formed by the scattered light not removed by the spatial filter; and processing the detected image to detect the defect.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: September 6, 2016
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuko Otani, Toshifumi Honda, Shunichi Matsumoto
  • Patent number: 9398280
    Abstract: A method, system, and article provide automatic white balancing with skin tone correction for image processing.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: July 19, 2016
    Assignee: INTEL CORPORATION
    Inventors: Jarno Nikkanen, Petri Ahonen, Timo Kaikumaa
  • Patent number: 9372072
    Abstract: This particle measuring device includes a stage, a reflected light illuminating device, a transmitted light illuminating device, an illumination control device, an imaging device, and an image processing device. Based on a transmitted light image acquired by imaging an opaque fine particle group using transmitted light and a reflected light image acquired by imaging an opaque fine particle group using reflected light, by associating transmitted light particles present in the transmitted light image and reflected light particles present in the reflected light image with each other using a predetermined method, various characteristics (the position, the size, the brightness level, and the like) of individual particles out of a fine particle group are simultaneously measured.
    Type: Grant
    Filed: April 1, 2011
    Date of Patent: June 21, 2016
    Assignee: NIPPON STEEL & SUMITOMO METAL CORPORATION
    Inventor: Nobuaki Ito
  • Publication number: 20150146199
    Abstract: A device for determining the presence of damage or dirt on a Doppler laser anemometry probe (2) porthole (1) comprising means (6) for implementing a continuous angular scan of the laser beam, means (7) for determining a current spectral component of the output signal of the probe (2) corresponding to a parasitic signal due to parasitic reflections on the path common to the emitted wave and the wave backscattered by the medium during spectral analysis of the anemometric signal, and means (8) for comparing said current spectral component of the current parasitic signal with a reference spectral component of the reference parasitic signal.
    Type: Application
    Filed: November 18, 2014
    Publication date: May 28, 2015
    Inventors: Philippe Rondeau, Jean-Pierre Schlotterbeck, Xavier Lacondemine
  • Patent number: 9036141
    Abstract: A surface inspection apparatus includes a blocking unit included in a subsequent processing unit that groups data items into having an arbitrary number of data items. The subsequent processing unit acquires a data item from each of the blocks. The blocking unit changes, in accordance with an instruction transmitted from a state monitoring unit, the number of data items to be blocked. A threshold processing unit acquires data items from the blocking unit that have values larger than a threshold, and transmits the data items to a memory. The state monitoring unit monitors an available capacity of the memory. When the state monitoring unit detects a reduction in the available capacity of the memory, it causes the blocking unit to increase the number of data items to be blocked into each of the blocks so that data does not overflow from the memory.
    Type: Grant
    Filed: June 19, 2012
    Date of Patent: May 19, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Terumi Obuchi, Hiroshi Kikuchi, Yuji Inoue, Nobuhiro Obara, Kazuo Takahashi
  • Patent number: 8970836
    Abstract: An invention being applied is a defect detecting apparatus that has: an illuminating optical system with a laser light source for irradiating a sample on whose surface a pattern is formed with light; a detecting optical system with a sensor for detecting light generated from the sample illuminated by the illuminating optical system; and a signal processing unit that extracts a defect from an image based on the light detected by the detecting optical system, in which an amplification rate of the sensor is dynamically changed during a time when the light is detected by the detecting optical system.
    Type: Grant
    Filed: May 14, 2012
    Date of Patent: March 3, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Atsushi Taniguchi, Taketo Ueno, Shunichi Matsumoto, Yukihiro Shibata, Toshifumi Honda
  • Patent number: 8964515
    Abstract: A system and method of calibrating optical measuring equipment includes optically measuring discrete objects of a first known predictable pattern from a calibration apparatus, wherein the first known predictable pattern is a bit pattern. A recording surface optical reader is calibrated based on the optically measuring. Using the first known predictable pattern, contamination is filtered from the results of the optically measuring.
    Type: Grant
    Filed: December 27, 2013
    Date of Patent: February 24, 2015
    Assignee: Seagate Technology LLC
    Inventors: Hamid Ghazvini, David Kuo, Minh Huong Le, Kim Yang Lee, HongYing Wang, Nobuo Kurataka, Yautzong Hsu, Henry Hung Yang
  • Patent number: 8958063
    Abstract: An illumination device for providing near isotropic illumination, and particularly an illumination system for detecting the defect in a transparent substrate and a detection system including the same are presented. An illumination system includes: an illumination system for detecting the defect in a transparent substrate, including light source receptacle in bar shape; first spot light sources, each emitting a respective first light, the respective first lights being substantially parallel to each other and the first spot light sources being arranged to a first line of spot light sources along the longitudinal direction of the receptacle; and second spot light sources, each emitting a respective second light, the respective second lights being substantially parallel to each other and the second spot light sources being arranged to a second line of spot light sources along the longitudinal direction of the receptacle.
    Type: Grant
    Filed: December 31, 2011
    Date of Patent: February 17, 2015
    Assignee: Saint-Gobain Glass France
    Inventors: Xiaofeng Guo, Huifen Li, Xiaofeng Lin, Xiaowei Sun, Wenhua Deng
  • Patent number: 8953156
    Abstract: The disclosed device, which, using an electron microscope or the like, minutely observes defects detected by an optical appearance-inspecting device or an optical defect-inspecting device, can reliably insert a defect to be observed into the field of an electron microscope or the like, and can be a device of a smaller scale. The electron microscope, which observes defects detected by an optical appearance-inspecting device or by an optical defect-inspecting device, has a configuration wherein an optical microscope that re-detects defects is incorporated, and a spatial filter and a distribution polarization element are inserted at the pupil plane when making dark-field observations using this optical microscope.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: February 10, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuko Otani, Takehiro Tachizaki, Masahiro Watanabe, Shunichi Matsumoto
  • Publication number: 20150022805
    Abstract: An optical system for detecting contaminants and defects on a test surface includes an improved laser system for generating a laser beam and optics directing the laser beam along a path onto the test surface, and producing an illuminated spot thereon. A detector and ellipsoidal mirrored surface are also provided with an axis of symmetry about a line perpendicular to the test surface. In one embodiment, an optical system for detecting anomalies of a sample includes the improved laser system for generating first and second beams, first optics for directing the first beam of radiation onto a first spot on the sample, second optics for directing the second beam onto a second spot on the sample, with the first and second paths at different angles of incidence to the sample surface. In another embodiment, a surface inspection apparatus includes an illumination system configured to focus beams at non-normal incidence angles.
    Type: Application
    Filed: October 6, 2014
    Publication date: January 22, 2015
    Inventors: Vladimir Dribinski, Yung-Ho Alex Chuang, J. Joseph Armstrong, John Fielden
  • Patent number: 8937714
    Abstract: Provided is a method wherein a multi-anode detector is used for the purpose of detecting scattered light from a wafer, data obtained from the detector (multi-anode) for detecting defects is used, the shape of a beam radiated to the wafer, a rotational shift between the radius direction and the beam long side, and the like are calculated, and the optical axis of the irradiation beam is adjusted. Furthermore, the method is provided with a technique which feeds back the correction quantities for rotation and amplitude to inspection signal data, on the basis of the correction data, and corrects inspection data. Since fine correction with the adjustment of an optics system and signal processing is made possible, positional accuracy of defect inspection and accuracy of defect level (defect size) are improved.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: January 20, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kimiaki Ando, Hiroshi Kikuchi, Yuji Inoue
  • Patent number: 8922764
    Abstract: A defect inspection method includes: illuminating an area on surface of a specimen as a test object under a specified illumination condition; scanning a specimen to translate and rotate the specimen; detecting scattering lights to separate each of scattering lights scattered in different directions from the illuminated area on the specimen into pixels to be detected according to a scan direction at the scanning a specimen and a direction approximately orthogonal to the scan direction; and processing to perform an addition process on each of scattering lights that are detected at the step and scatter approximately in the same direction from approximately the same area of the specimen, determine presence or absence of a defect based on scattering light treated by the addition process, and compute a size of the determined defect using at least one of the scattering lights corresponding to the determined defect.
    Type: Grant
    Filed: October 21, 2011
    Date of Patent: December 30, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuta Urano, Toshifumi Honda, Yukihiro Shibata
  • Patent number: 8908171
    Abstract: When the intensity of scattering light from a defect on a sample becomes very low according to the diameter of the defect, the dark noise from a sensor device itself accounts which a large proportion of the detected signal outputted from the sensor and thus it is difficult to detect minute defects. Furthermore, since a laser light source is pulsed into oscillation, pulse components from the laser light source are superimposed on the detected signal outputted from the sensor, and therefore it is difficult to detect defects with high accuracy.
    Type: Grant
    Filed: November 25, 2011
    Date of Patent: December 9, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masami Makuuchi, Takahiro Jingu
  • Publication number: 20140354982
    Abstract: Provided herein are apparatuses and methods related thereto, wherein at least one apparatus includes: a photon emitting means configured to emit photons, wherein the photons are scattered from magnetic features of an article; a photon detector array configured to receive scattered photons; and a processing means configured to differentiate the magnetic features from the scattered photons.
    Type: Application
    Filed: February 28, 2014
    Publication date: December 4, 2014
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Joachim Walter Ahner, David M. Tung, Stephen Keith McLaurin