Mask Inspection (e.g., Semiconductor Photomask) Patents (Class 382/144)
  • Patent number: 8520077
    Abstract: A color-unevenness inspection apparatus includes: an image pickup section picking up an image of an inspection target for a color-unevenness inspection; an image generation section generating an uneven-color image by determining one or more uneven-color regions existing in the picked-up image of the inspection target obtained by the image pickup section, and by classifying unit regions included in each of the uneven-color regions into a plurality of color groups; a calculation section calculating, on the uneven-color regions in the uneven-color image, an evaluation parameter to be used in the color-unevenness inspection; a correction section making a correction to the calculated evaluation parameter in consideration of a difference of color-unevenness visibility between the color groups; and an inspection section performing the color-unevenness inspection, based on a resultant evaluation parameter obtained by the correction.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: August 27, 2013
    Assignee: Sony Corporation
    Inventors: Kunihiko Nagamine, Satoshi Tomioka
  • Publication number: 20130216120
    Abstract: An inspection region of a mask is virtually divided by stripes, and a pattern on a position error correcting unit is also virtually divided by stripes. Then, a stage is moved such that all the stripes of both the mask and the position error correcting unit are continuously scanned, so that optical images of these stripes are acquired. Fluctuation values of position coordinates of the patterns formed on the position error correcting unit are acquired from the optical images of the position error correcting unit. Based upon the fluctuation values, fluctuation values of the position coordinates of the respective patterns in the inspection region of the mask are obtained so that the position coordinates are corrected. Thereafter, a map is generated from the fluctuation values of the position coordinates of the respective patterns in the inspection region of the mask.
    Type: Application
    Filed: February 15, 2013
    Publication date: August 22, 2013
    Applicant: NuFlare Technology, Inc.
    Inventor: NuFlare Technology, Inc.
  • Patent number: 8515154
    Abstract: A method for verifying repairs on masks for photolithography is provided. A mask fabricated based on a mask layout is inspected for defects, and the positions at which defects are found on the mask are stored in a position file. In a repair step, the defects are repaired and, for each repaired position, in a verification step, an aerial image of the mask is taken at that position and the aerial image is analyzed to determine whether at that position the mask meets tolerance criteria established for one or more selected target parameters, and if the tolerance criteria have been met, the repair is verified. The verification can include a) based on the position file, a desired structure is defined in the mask layout at the repaired position, b) an aerial image is simulated for the desired structure, c) the captured aerial image is compared with the simulated one, and d) based on the comparison, a decision is made as to whether the repair at that position is verified.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: August 20, 2013
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Thomas Scherübl, Matthias Wächter, Hans Van Doornmalen
  • Patent number: 8515153
    Abstract: A scanning electron microscope comprises an image processing system for carrying out a pattern matching between a first image and a second image. The image processing system comprises: a paint-divided image generator for generating a paint divided image based on the first image; a gravity point distribution image generator for carrying out a smoothing process of the paint divided image and generating a gravity point distribution image; an edge line segment group generation unit for generating a group of edge line segments based on the second image; a matching score calculation unit for calculating a matching score based on the gravity point distribution image and the group of edge line segments; and a maximum score position detection unit for detecting a position where the matching score becomes the maximum.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: August 20, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akiyuki Sugiyama, Hiroyuki Shindo
  • Patent number: 8509516
    Abstract: Provided is an examination technique to detect defects with high sensitivity at an outer-most repetitive portion of a memory mat of a semiconductor device and even in a peripheral circuit having no repetitiveness. A circuit pattern inspection apparatus comprises an image detection unit for acquiring an image of a circuit pattern composed of multiple die having a repetitive pattern, a defect judgment unit which composes, in respect of an acquired detected image, reference images by switching addition objectives depending on regions of repetitive pattern and the other regions and compares a composed reference image with the detected image to detect a defect, and a display unit for displaying the image of the detected defect.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: August 13, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takashi Hiroi, Takeyuki Yoshida, Naoki Hosoya, Toshifumi Honda
  • Patent number: 8507856
    Abstract: A pattern measuring method and device are provided which set a reference position for a measuring point to be measured by a scanning electron microscope and the like, based on position information of a reference pattern on an image acquired from the scanning electron microscope and based on a positional relation, detected by using design data, between the measuring point and the reference pattern formed at a position isolated from the measuring point.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: August 13, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takumichi Sutani, Ryoichi Matsuoka, Hidetoshi Morokuma, Hitoshi Komuro, Akiyuki Sugiyama
  • Patent number: 8501376
    Abstract: A method for performing a photolithography process includes providing a reticle on a projection apparatus, the reticle having a test pattern defined thereon, the test pattern including a plurality of one-dimensional structures and a plurality of two-dimensional structures. The test pattern defined on the reticle is transferred to at least one area on a wafer. The projection apparatus is focused on the test pattern transferred on the wafer during a photolithography process to perform a process monitoring.
    Type: Grant
    Filed: March 12, 2011
    Date of Patent: August 6, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Chi Yuan Hung, Bin Zhang, Ze Xi Deng, Li Guo Zhang
  • Patent number: 8498468
    Abstract: A method of inspecting a mask, where a structure on the mask is optically imaged at a resolution specified by a criteria set including at least one of a method by which the structure was formed, a tolerance, and a structure type, to produce an optical image. The optical image is compared to a baseline image, and the differences between the optical image and the baseline image are identified. The differences are compared to a threshold value, and any differences that exceed the threshold value are flagged as defects.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: July 30, 2013
    Assignee: KLA-Tencor Corporation
    Inventor: William W. Volk
  • Patent number: 8498469
    Abstract: A technique for determining a full-field Mask Error Enhancement Function (MEEF) associated with a mask pattern for use in a photo-lithographic process is described. In this technique, simulated wafer patterns corresponding to the mask pattern are generated at an image plane in an optical path associated with the photo-lithographic process. Then, the full-field MEEF is determined. This full-field MEEF includes MEEF values in multiple directions at positions along one or more contours that define boundaries of one or more features in the one or more simulated wafer patterns. Moreover, at least one of the MEEF values is at a position on a contour where a critical dimension for a feature associated with the contour is undefined.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: July 30, 2013
    Assignee: Synopsys, Inc.
    Inventors: Guangming Xiao, Thomas C. Cecil, Linyong Pang, Robert E. Gleason, John F. McCarty
  • Publication number: 20130182938
    Abstract: A defect inspection method for a wafer is provided. The wafer comprises a component pattern. The method comprises the following steps: providing a defect inspection apparatus for inspecting the defects on the wafer to obtain a defect distribution map; providing a photo mask, wherein the photo mask comprises a exposure pattern corresponding to the component pattern; and comparing the defect distribution map with the exposure pattern and dividing the defects in the defect distribution map into a first killer defect group and a first non-killer defect group according to their corresponding locations in the exposure pattern. In addition, a wafer defect inspection system applying the same method is also provided.
    Type: Application
    Filed: January 18, 2012
    Publication date: July 18, 2013
    Applicant: UNITED MICROELECRONICS CORPORATION
    Inventor: Kai-Ping HUANG
  • Publication number: 20130182940
    Abstract: A method for decomposing a target circuit pattern containing features to be imaged into multiple patterns. The process includes the steps of separating the features to be printed into a first pattern and a second pattern; performing a first optical proximity correction process on the first pattern and the second pattern; determining an imaging performance of the first pattern and the second pattern; determining a first error between the first pattern and the imaging performance of the first pattern, and a second error between the second pattern and the imaging performance of said second pattern; utilizing the first error to adjust the first pattern to generate a modified first pattern; utilizing the second error to adjust the second pattern to generate a modified second pattern; and applying a second optical proximity correction process to the modified first pattern and the modified second pattern.
    Type: Application
    Filed: March 5, 2013
    Publication date: July 18, 2013
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Duan-Fu Stephen Hsu, Jung Chul Park, Douglas Van Den Broeke, Jang Fung Chen
  • Publication number: 20130182939
    Abstract: A method for measuring critical dimension (CD) includes steps of: scanning at least one area of interest of a die to obtain at least one scanned image; aligning the scanned image to at least one designed layout pattern to identify a plurality of borders within the scanned image; and averaging distances each measured from the border or the plurality of borders of a pattern associated with a specific type of CD corresponding to the designed layout pattern to obtain a value of CD of the die. The value of critical dimensions of dies can be obtained from the scanned image with lower resolution which is obtained by relatively higher scanning speed, so the above-mentioned method can obtain value of CD for every die within entire wafer to monitor the uniformity of the semiconductor manufacturing process within an acceptable inspection time.
    Type: Application
    Filed: March 5, 2013
    Publication date: July 18, 2013
    Applicant: HERMES MICROVISION INC.
    Inventor: HERMES MICROVISION INC.
  • Patent number: 8488866
    Abstract: A inspection image data of the chip A is captured and the data representing the amount of correction of flare corresponded to the chip A is appropriately loaded from the map storage block. Next, a inspection image of the chip A? is captured, and the data representing the amount of correction of flare corresponded to the chip A? is loaded from the flare map storage block as the amount of shifting of the edge of the contour of the pattern. The amount of correction is converted, by a correction data generation block which is a correction data generator, into the amount of geometrical correction of pattern which provides correction data. In the comparison block, the images of the geometry of two chips are compared and corrected on the amount of correction of flare generated by a correction data generation block, to thereby judge whether defect is found or not.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: July 16, 2013
    Assignees: Renesas Electronics Corporation, Fujitsu Semiconductor Limited
    Inventors: Tsuneo Terasawa, Toshihiko Tanaka, Hiroyuki Shigemura, Hajime Aoyama, Osamu Suga
  • Patent number: 8488867
    Abstract: An inspection apparatus to precisely quantitatively inspect positions of formation of film layers formed on the surface of a disk-shaped substrate. It generates captured image data expressing a captured image corresponding to a field of vie based on image signals successively output from an image capturing unit capturing an image of a predetermined surface at an outer circumference part of the disk-shaped substrate and generates film layer edge position information expressing longitudinal direction positions at corresponding positions along the circumferential direction of an edge line of a film layer image pan corresponding to the film layer on the surface image with reference to, from the captured image data, longitudinal direction positions at the different positions along the circumferential direction of a boundary line between a surface image part corresponding to the predetermined surface on the captured image and its outer image part.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: July 16, 2013
    Assignee: Shibaura Mechatronics Corporation
    Inventors: Yoshinori Hayashi, Hiroshi Wakaba, Yoko Ono, Koichi Miyazono, Hideki Mori
  • Patent number: 8488864
    Abstract: An emission analysis device has an image obtaining module configured to obtain a plurality of first images and a plurality of second images by changing multiple times an end test pattern address, the first images being obtained by integrating an emission from a nondefective semiconductor device determined to be nondefective by a function test while test patterns from a predetermined start test pattern address to the end test pattern address are inputted to the nondefective semiconductor device, the second images being obtained by integrating an emission from a defective semiconductor device determined to be defective by the function test while the test patterns from the predetermined start test pattern address to the end test pattern address are inputted to the defective semiconductor device, and a comparator configured to compare each of the first images with each of the second images by the end test pattern address to determine whether there is a difference between the first images and the second images.
    Type: Grant
    Filed: March 19, 2010
    Date of Patent: July 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kenji Norimatsu
  • Patent number: 8478073
    Abstract: A method for determining a position of an area of an object within the complete object, wherein the image of the area of the object is contained within a field of view of a microscope. The method comprises acquiring high magnification image data representing an image of the field of view of the microscope, typically from a digital camera attached to the microscope; processing the high magnification image data to reduce the resolution thereof; comparing the processed high magnification image data with portions of the low magnification image data, and determining said position based on the results of said comparison.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: July 2, 2013
    Assignee: Hamamatsu Photonics K.K.
    Inventor: John R. Maddison
  • Patent number: 8478808
    Abstract: Minimizing memory access by converting a given matrix computation into a set of low-order polynomials. The low-order polynomials can be used by dividing the domain of the polynomials into smaller subregions. If the domain is divided into equal intervals, the low-order polynomial can be used to approximate results from the matrix computation. The set of polynomials is processed using parallel computational hardware such as graphical processing units.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: July 2, 2013
    Assignee: Gauda, Inc.
    Inventor: Ilhami H. Torunoglu
  • Publication number: 20130163850
    Abstract: A mask pattern and a correcting method thereof are provided. The correcting method includes the following steps. An original pattern having a first original contour and a second original contour is provided. The first original contour has a first original corner. The second original contour has a second original corner, which is near the first original corner. The first and second original corners are cut to form a cut pattern. An optical proximity correction (OPC) process is applied to the cut pattern to form the mask pattern.
    Type: Application
    Filed: December 27, 2011
    Publication date: June 27, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Te-Hsien Hsieh, Ming-Jui Chen, Cheng-Te Wang, Jing-Yi Lee
  • Patent number: 8472695
    Abstract: A method of analyzing of a semiconductor integrated circuit includes inspecting a physical defect in a semiconductor wafer, subjecting the semiconductor integrated circuit chip to a logic test and extracting a malfunctioning chip, analyzing a detected signal observed from the malfunctioning chip by an analyzer, obtaining the layer and coordinates of a circuit related the detected signal, collating the physical defect with the circuit, and identifying the physical defect associated with the circuit. The layer and coordinates of the circuit is extracted using design data. An inspection step identifying information is collated with the layer of the circuit, and an in-chip coordinates of the physical defect is collated with the coordinated of the circuit.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: June 25, 2013
    Assignee: Renesas Electronics Corporation
    Inventor: Masafumi Nikaido
  • Publication number: 20130156939
    Abstract: The invention relates to a method for analyzing a defect of a photolithographic mask for an extreme ultraviolet (EUV) wavelength range (EUV mask) comprising the steps of: (a) generating at least one focus stack relating to the defect using an EUV mask inspection tool, (b) determining a surface configuration of the EUV mask at a position of the defect, (c) providing model structures having the determined surface configuration which have different phase errors and generating the respective focus stacks, and (d) determining a three dimensional error structure of the EUV mask defect by comparing the at least one generated focus stack of the defect and the generated focus stacks of the model structures.
    Type: Application
    Filed: June 24, 2011
    Publication date: June 20, 2013
    Inventors: Michael Budach, Tristan Bret, Klaus Edinger, Thorsten Hofmann, Heiko Feldmann, Johannes Ruoff
  • Patent number: 8467592
    Abstract: Embodiments described herein are directed to detecting and/or measuring distortions of substrate media that can occur during a printing process. The distortion can be detected and/or measured using a composite image generated from a reference image having a first periodic pattern and print image, disposed on a test substrate media, having a second periodic pattern. The first and second periodic patterns are specified so that the composite image includes a moiré pattern having moiré fringes resulting from interference between the first periodic pattern associated with the reference image and the second periodic pattern associated with the print image. The moiré fringes can be used to detect and calculate an amount of distortion of the test substrate media.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: June 18, 2013
    Assignee: Xerox Corporation
    Inventors: Shen-ge Wang, Beilei Xu, Robert P. Loce
  • Patent number: 8467595
    Abstract: A system is provided that realizes both reduction in coordinate error and improvement in throughput and allows observation of a micro-defect. The system includes: a function of measuring an amount of displacement between preliminarily calculated coordinates and an actual specimen position; a function of optimizing a coordinate correction formula so as to minimize the amount of displacement from the measured amount of displacement; and a function of calculating variation of displacement between the preliminarily calculated coordinates and the actual specimen position by statistical processing. When a value of coordinate variation is sufficiently small with respect to the field of view of an image for observation, which is to be a defect observation image, the system acquires only the image for observation without performing acquisition of an image for search, which is to be a defect search image.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: June 18, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Noritsugu Takahashi, Muneyuki Fukuda, Tomoyasu Shojo, Naomasa Suzuki, Kenji Obara
  • Publication number: 20130148876
    Abstract: The purpose of the present invention is to provide an image processing apparatus and a computer program such that correspondence points between design data and an edge line or between edge lines can be accurately identified for their matching. In an embodiment for achieving the purpose, when positioning between a first pattern formed by a first line segment and a second pattern formed by a second line segment is performed, a first correspondence point and a second correspondence point are set on the first line segment and the second line segment, respectively; a degree of alignment for performing the positioning of the first pattern and the second pattern is calculated on the basis of the distance between the first correspondence point and the second correspondence point; and the position of the first correspondence point and/or the second correspondence point is changed in accordance with a shape difference between the first line segment and the second line segment (see FIG. 2).
    Type: Application
    Filed: August 31, 2011
    Publication date: June 13, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yuichi Abe, Hiroyuki Shindo
  • Patent number: 8463016
    Abstract: A technique for determining photo-mask defect disposition is described. In this technique, a target mask pattern is used to expand an initial region in a photo-mask that is included in an initial mask-inspection image. In particular, a revised mask-inspection image that includes the initial region and a region surrounding the initial region is generated based on the initial mask-inspection image and the target mask pattern. Then a corresponding simulated mask pattern is calculated in an inverse optical calculation using the revised mask-inspection image and an optical model of the mask-inspection system. This simulated mask pattern is used to simulate a wafer pattern in a photo-lithographic process, and disposition of a possible defect in the initial region is subsequently determined based on the simulated wafer pattern and a target wafer pattern.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: June 11, 2013
    Assignee: Luminescent Technologies, Inc.
    Inventor: Linyong Pang
  • Patent number: 8457411
    Abstract: The position of an edge of a marker structure in an image of the marker structure is determined with subpixel accuracy. A discrete intensity profile of the edge, having profile pixels, is derived from the image pixels, and a continuous profile function of the edge is determined based on the profile pixels. Profile pixels whose intensity values are near an intensity threshold value are selected as evaluation pixels. Based on the evaluation pixels, a curve of continuous intensity is calculated. A position coordinate at which the intensity value of the continuous intensity curve matches the threshold value is selected as a first position coordinate, and the distance is determined between the first position coordinate and the position coordinate of the evaluation pixel that, from among the evaluation pixels previously selected, has the closest intensity value to the threshold value.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: June 4, 2013
    Assignee: Carl Zeiss SMS GmbH
    Inventor: Michael Arnz
  • Patent number: 8457385
    Abstract: An encoder-type measurement system is configured to measure a position dependent signal of a movable object, the measurement system including at least one sensor mountable on the movable object a sensor target object mountable on a substantially stationary frame, and a mounting device configured to mount the sensor target object on the substantially stationary frame. The measurement system further includes a compensation device configured to compensate movements and/or deformations of the sensor target object with respect to the substantially stationary frame. The compensation device may include a passive or an active damping device and/or a feedback position control system. In an alternative embodiment, the compensation device includes a gripping device which fixes the position of the sensor target object during a high accuracy movement of the movable object.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: June 4, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Marc Wilhelmus Maria Van Der Wijst, Engelbertus Antonius Fransiscus Van Der Pasch, Koen Jacobus Johannes Maria Zaal
  • Patent number: 8452076
    Abstract: In apparatuses for automatically acquiring and also for automatically classifying images of defects present on a sample such as a semiconductor wafer, a classifying system is provided which are capable of readily accepting even such a case that a large number of classification classes are produced based upon a request issued by a user, and also even such a case that a basis of the classification class is changed in a high frequency. When the user defines the classification classes, a device for designating attributes owned by the respective classification classes is provided. The classifying system automatically changes a connecting mode between an internally-provided rule-based classifier and an example-based classifier, so that such a classifying system which is fitted to the classification basis of the user is automatically constructed.
    Type: Grant
    Filed: January 31, 2012
    Date of Patent: May 28, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryo Nakagaki, Masaki Kurihara, Toshifumi Honda
  • Patent number: 8450030
    Abstract: Provided is a thin film evaluation method for a transfer mask which is adapted to be applied with ArF excimer laser exposure light and comprises a thin film formed with a pattern on a transparent substrate. The method includes intermittently irradiating pulsed laser light onto the thin film to thereby evaluate the irradiation durability of the thin film.
    Type: Grant
    Filed: May 17, 2011
    Date of Patent: May 28, 2013
    Assignee: Hoya Corporation
    Inventors: Kazuya Sakai, Masaru Tanabe
  • Patent number: 8452077
    Abstract: A method is provided for imaging a workpiece by capturing successive frames of an elongate stationary field of view transverse to a workpiece transit path of a robot, while the workpiece is transported by the robot. The robot transit path is illuminated with an elongate illumination pattern transverse to the transit path to obtain a workpiece image of successive frames. Motion-induced image distortion is corrected by computing respective correct locations of respective ones of the frames along the transit path.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: May 28, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Abraham Ravid, Todd Egan, Karen Lingel
  • Publication number: 20130129188
    Abstract: An electronic device configured for defect detection is described. The electronic device includes a processor and instructions stored in memory that is in electronic communication with the processor. The electronic device performs background suppression on the image data based on a transform of the image data to obtain a score map. The electronic device also applies thresholding to the score map to generate a detection mask. The thresholding comprises bi-thresholding. The electronic device additionally detects any defects based on the detection mask. The electronic device further indicates any defects.
    Type: Application
    Filed: November 18, 2011
    Publication date: May 23, 2013
    Applicant: Sharp Laboratories of America, Inc.
    Inventors: Qiang Zhang, Xinyu Xu, Chang Yuan, Hae-Jong Seo, Petrus J.L. Van Beek
  • Patent number: 8447095
    Abstract: A method for determining an image of a mask pattern in a resist coated on a substrate, the method including determining an aerial image of the mask pattern at substrate level; and convolving the aerial image with at least two orthogonal convolution kernels to determine a resist image that is representative of the mask pattern in the resist.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: May 21, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Yu Cao, Luoqi Chen, Antoine Jean Bruguier, Wenjin Shao
  • Patent number: 8447097
    Abstract: When computation of a three-dimensional measurement processing parameter is completed, accuracy of a computed parameter can easily be confirmed. After a parameter for three-dimensional measurement is computed through calibration processing using a calibration workpiece in which plural feature points whose positional relationship is well known can be extracted from an image produced by imaging, three-dimensional coordinate computing processing is performed using the computed parameter for the plural feature points included in the stereo image used to compute the parameter. Perspective transformation of each computed three-dimensional coordinate is performed to produce a projection image in which each post-perspective-transformation three-dimensional coordinate is expressed by a predetermined pattern, and the projection image is displayed on a monitor device.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: May 21, 2013
    Assignee: Omron Corporation
    Inventors: Shiro Fujieda, Atsushi Taneno, Hiroshi Yano, Yasuyuki Ikeda
  • Patent number: 8440376
    Abstract: According to one embodiment, a deviation amount distribution of a two-dimensional shape parameter between a mask pattern formed on a mask and a desired mask pattern is acquired as a mask pattern map. Such that a deviation amount of the two-dimensional shape parameter between a pattern on substrate formed when the mask is subjected to exposure shot to form a pattern on a substrate and a desired pattern on substrate fits within a predetermined range, an exposure is determined for each position in the exposure shot in forming the pattern on substrate based on the mask pattern map.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: May 14, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Toshiya Kotani, Kazuya Fukuhara, Michiya Takimoto, Hidefumi Mukai, Soichi Inoue
  • Patent number: 8442300
    Abstract: A specified position in an array structure in which a reference pattern is displayed repetitively through reference pattern counting is identified. In an array structure image, the pattern detection estimating area generated from a starting point, the address of the starting point, and a unit vector are compared with a pattern detected position found in pattern matching with the reference pattern image, to execute pattern counting while determining correct detection, oversights, wrong detection, etc. Array structure images are photographed sequentially while moving the visual field with the use of an image shifting deflector to continue the pattern counting started at the starting point to identify the ending point specified with an address. If the ending point is not reached only with use of the image shifting deflector, the visual field moving range of the image shifting deflector is moved with use of a specimen stage.
    Type: Grant
    Filed: February 8, 2007
    Date of Patent: May 14, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ruriko Tsuneta, Tohru Ando, Junzo Azuma
  • Patent number: 8442299
    Abstract: One exemplary aspect of this invention pertains to a method to evaluate an image processing algorithm. The method includes varying a parameter of a model of an imaging system and, for each variation of the parameter, calculating with a data processor a corresponding image of a sample; applying an image processing algorithm to the calculated corresponding images of the sample; and determining an ability of the image processing algorithm to detect the variation in the parameter.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: May 14, 2013
    Assignee: International Business Machines Corporation
    Inventors: Peter P. Longo, Alfred Wagner
  • Publication number: 20130108146
    Abstract: A method includes (a) creating a plurality of patterns on a plurality of dies, the plurality of dies being formed upon a semiconductor wafer, the plurality of patterns being formed so that each of the dies has a different focus and exposure energy value, (b) selecting at least one known acceptable die from the wafer, wherein acceptability is determined at least in part by a critical dimension value and a defect status, (c) using optical inspection, comparing the at least one known acceptable die to a first subset of the plurality of dies, and (d) classifying each die in the first subset as within established limits or outside of the established limits in response to (c).
    Type: Application
    Filed: November 1, 2011
    Publication date: May 2, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Shang-Chian Li
  • Patent number: 8428336
    Abstract: A method for classifying defects, including: calculating feature quantifies of defect image which is obtained by imaging a defect on a sample; classifying the defect image into a classified category by using information on the calculated feature quantities; displaying the classified defect image in a region on a display screen which is defined to the classified category; adding information on the classified category to the displayed defect image; transferring the displayed defect image which is added the information on the classified category to one of the other categories and displaying the transferred defect image in a region on the display screen which is defined to the one of the other categories; and changing information on the category.
    Type: Grant
    Filed: May 11, 2006
    Date of Patent: April 23, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Yoko Ikeda, Junko Konishi, Hisafumi Iwata, Yuji Takagi, Kenji Obara, Ryo Nakagaki, Seiji Isogai, Yasuhiko Ozawa
  • Patent number: 8422760
    Abstract: A system for monitoring haze of a photomask includes an installation unit in which a photomask is mounted, a light emission unit emitting a light beam to the photomask installed on the installation unit, a detection unit detecting a diffraction pattern of the light beam emitted by the light emission unit and passed through the photomask, and an analysis unit analyzing the diffraction pattern detected by the detection unit.
    Type: Grant
    Filed: October 16, 2009
    Date of Patent: April 16, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-gun Lee, Seong-sue Kim, Jae-Hyuck Choi, Jin-sik Jung
  • Patent number: 8421803
    Abstract: This invention facilitates monitoring operation for checking whether or not quality of a substrate deteriorates as well as operation for identifying a cause of deterioration in quality. Identification information of constituent elements related to measurement target sections (pads) on a component-mounted substrate is arranged into hierarchal structure data. A first axis is arranged with the measurement target sections associated with this arrangement. A second axis is arranged with information (identification information of lots and squeegees) representing production conditions of the substrates according to an order of the substrates being processed. A two-dimensional area defined by the first axis and the second axis is set. A color map is generated, in which measured data of the measurement target sections on the substrates are arranged in colors at corresponding positions within the two-dimensional area.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: April 16, 2013
    Assignee: Omron Corporation
    Inventors: Kazuto Kojitani, Keiji Otaka, Hiroyuki Mori
  • Patent number: 8422761
    Abstract: Apparatus and method evaluate a wafer fabrication process for forming patterns on a wafer based upon design data. Within a recipe database, two or more inspection regions are defined on the wafer for analysis. Patterns within each of the inspection regions are automatically selected based upon tendency for measurement variation resulting from variation in the fabrication process. For each inspection region, at least one image of patterns within the inspection region is captured, a reference pattern, represented by one or both of (a) one or more line segments and (b) one or more curves, is automatically generated from the design data. An inspection unit detects edges within each of the images and registers the image with the reference pattern. One or more measurements are determined from the edges for each of the selected patterns and are processed within a statistical analyzer to form statistical information associated with the fabrication process.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: April 16, 2013
    Assignee: NGR Inc.
    Inventors: Tadashi Kitamura, Akio Ishikawa
  • Patent number: 8421585
    Abstract: An alarm apparatus for sensing occurrence of abnormality in a plant that manufactures products by processing substrates, the alarm apparatus includes: means responsive to an inspection result of a surface of the substrates during manufacturing the products for aggregating degree of occurrence of defects for each monitoring unit region to produce an aggregation result, the monitoring unit region having a prescribed size configured for each type of the abnormality; means for comparing the degree of occurrence of defects in each of the monitoring unit regions with a reference; and means responsive to detection of the monitoring unit region with the degree of occurrence of defects being higher than the reference for transmitting an alarm and outputting the aggregation result.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: April 16, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yasuo Namioka
  • Patent number: 8417018
    Abstract: A photomask blank having a film on a substrate is inspected by (A) measuring a surface topography of a photomask blank having a film to be inspected for stress, (B) removing the film from the photomask blank to provide a treated substrate, (C) measuring a surface topography of the treated substrate, and (D) comparing the surface topography of the photomask blank with the surface topography of the treated substrate, thereby evaluating a stress in the film.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: April 9, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yukio Inazuki, Hideo Kaneko, Hiroki Yoshikawa
  • Patent number: 8415077
    Abstract: A mechanism is provided for simultaneous optical proximity correction (OPC) and decomposition for double exposure lithography. The mechanism begins with two masks that are equal to each other and to the target. The mechanism simultaneously optimizes both masks to obtain a wafer image that both matches the target and is robust to process variations. The mechanism develops a lithographic cost function that optimizes for contour fidelity as well as robustness to variation. The mechanism minimizes the cost function using gradient descent. The gradient descent works on analytically evaluating the derivative of the cost function with respect to mask movement for both masks. It then moves the masks by a fraction of the derivative.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: April 9, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kanak B. Agarwal, Shayak Banerjee
  • Patent number: 8400503
    Abstract: A method and apparatus are provided for automatic application and monitoring of a structure to be applied onto substrate. A plurality of cameras positioned around an application facility are utilized to monitor the automatic application of a structure on a substrate by means of a stereometry procedure. Three-dimensional recognition of a reference contour position results in the overlapping area to be used for gross adjustment of the application facility prior to applying the structure.
    Type: Grant
    Filed: July 16, 2004
    Date of Patent: March 19, 2013
    Assignee: Quiss GmbH
    Inventors: Jan Anders Linnenkohl, Andreas Tomtschko, Mirko Berger, Roman Raab
  • Publication number: 20130058558
    Abstract: A defect inspection system is disclosed for easily setting inspection conditions and providing an inspection condition and a defect signal intensity to an operator. The defect inspection system digitizes a defective image, and a reference image corresponding thereto and a mismatched portion of the defective image and the reference image as a defect signal intensity and accumulates them in association with the inspection condition. The inspection conditions are changed to repeat evaluations while repeating accumulating works until the evaluation of all the inspection conditions in a set range is completed. A recipe file including the accumulated conditions having the high defect signal intensity and an inspection condition item distribution as a inspection condition recipe is automatically outputted and provided to the operator.
    Type: Application
    Filed: August 23, 2012
    Publication date: March 7, 2013
    Inventors: Taketo UENO, Yasuhiro YOSHITAKE
  • Patent number: 8392009
    Abstract: The present disclosure provides a semiconductor manufacturing method. The method includes performing a first process to a first plurality of semiconductor wafers; determining a sampling rate to the first plurality of semiconductor wafers based on process quality; determining sampling fields and sampling points to the first plurality of semiconductor wafers; measuring a subset of the first plurality of semiconductor wafers according to the sampling rate, the sampling fields and the sampling points; modifying a second process according to the measuring; and applying the second process to a second plurality of semiconductor wafers.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: March 5, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wang Jo Fei, Andy Tsen, Ming-Yu Fan, Jill Wang, Jong-I Mou
  • Patent number: 8391588
    Abstract: Utilizing only image information of an observation image and a reference image, a strain amount calculation unit calculates strain parameters. The reference image may be generated from design data or a different observation image. Calculated strain parameters are determined using strain formulation coefficients. A compensated image forming unit strains the reference image or the observation image by the strain amount based on the calculated strain parameters. The compensated image formed in the compensated image forming unit is supplied to an identification unit, which compares the compensated image with the reference image or the observation image, and determines positions where the difference is large to defects.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: March 5, 2013
    Assignee: NEC Corporation
    Inventor: Hiroyoshi Miyano
  • Patent number: 8391634
    Abstract: An image comprising varying illumination is selected. Instances of a repeating pattern in the image is determined. Illumination values for pixels at locations within instances of the repeating pattern are calculated responsive to pixel intensities of pixels at corresponding locations in other instances of the repeating pattern. The varying illumination is removed form the image responsive to the illumination values.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: March 5, 2013
    Assignee: Google Inc.
    Inventors: Vivek Kwatra, Mei Han, Shengyang Dai
  • Patent number: 8379229
    Abstract: Embodiments of the present invention enable generation of a simulated reference bitmap image that corresponds to a dot-pattern image. Certain applications of the present invention are its use in various embodiments of a system for inspection of a printed circuit board (“PCB”) substrate. In embodiments, a dot-pattern image and user-input configuration parameters are used to create an initialized simulated reference bitmap, and the dot pattern is mapped onto the reference bitmap using a scaling factor. In embodiments, reference bitmaps of individual sections of a dot-pattern image may be generated separately.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: February 19, 2013
    Assignee: Seiko Epson Corporation
    Inventor: Ali Zandifar
  • Patent number: RE44216
    Abstract: An optical imaging system for inspection microscopes with which lithography masks can be checked for defects particularly through emulation of high-aperture scanner systems. The microscope imaging system for emulating high-aperture imaging systems comprises imaging optics, a detector and an evaluating unit, wherein polarizing optical elements are selectively arranged in the illumination beam path for generating different polarization states of the illumination beam and/or in the imaging beam path for selecting different polarization components of the imaging beam, an optical element with a polarization-dependent intensity attenuation function can be introduced into the imaging beam path, images of the mask and/or sample are received by the detector for differently polarized beam components and are conveyed to the evaluating unit for further processing.
    Type: Grant
    Filed: October 23, 2009
    Date of Patent: May 14, 2013
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Michael Totzeck, Heiko Feldmann, Toralf Gruner, Karl-Heinz Schuster, Joern Greif-Wuestenbecker, Thomas Scheruebl, Wolfgang Harnisch, Norbert Rosenkranz, Ulrich Matejka