Mask Inspection (e.g., Semiconductor Photomask) Patents (Class 382/144)
  • Patent number: 9483547
    Abstract: Recipes are hierarchically clustered into groups based on features of the recipes. Candidate clusters with a threshold number of clustered recipes having at least one feature in common are found by traversing the hierarchy. A plurality of clusters is selected for display to a user from among the candidates based on an objective function that considers the relevancy of the cluster as well as diversity of the clusters. A plurality of recipes within each selected cluster is selected for display to a user from among the recipes within the cluster based on an objective function that considers the quality of the recipe as well as the diversity of the recipes within the cluster. At least one feature that all of the recipes in a respective cluster have in common is used to generate a name for the cluster.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: November 1, 2016
    Assignee: Yummly, Inc.
    Inventors: David B. Feller, Vadim Geshel, Gregory Allen Druck, Jr., Iurii Volodimirovich Korolov
  • Patent number: 9442894
    Abstract: The present invention assigns, in order to form a word representation for a flow pattern in a multiply connected exterior domain having N holes topologically, any one of words that define two types of flow patterns that can be topologically applied to a simply connected exterior domain having a hole, and repeatedly assigns, to the assigned word, any one of words that define five types of operations that can be topologically applied to add a hole to the flow pattern, so that a word representation corresponding to the multiply connected exterior domain having N holes is formed.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: September 13, 2016
    Assignee: JAPAN SCIENCE AND TECHNOLOGY AGENCY
    Inventors: Takashi Sakajo, Tomoo Yokoyama
  • Patent number: 9418400
    Abstract: Systems and methods for rendering depth-of-field visual effect on images with high computing efficiency and performance. A diffusion blurring process and a Fast Fourier Transform (FFT)-based convolution are combined to achieve high-fidelity depth-of-field visual effect with Bokeh spots in real-time applications. The brightest regions in the background of an original image are enhanced with Bokeh effect by virtue of FFT convolution with a convolution kernel. A diffusion solver can be used to blur the background of the original image. By blending the Bokeh spots with the image with gradually blurred background, a resultant image can present an enhanced depth-of-field visual effect. The FFT-based convolution can be computed with multi-threaded parallelism.
    Type: Grant
    Filed: June 18, 2013
    Date of Patent: August 16, 2016
    Assignee: NVIDIA CORPORATION
    Inventors: Nikolay Sakharnykh, Holger Gruen
  • Patent number: 9401013
    Abstract: There is provided an inspection method capable of classifying defects detected on a production layer of a specimen. The method comprises: obtaining input data related to the detected defects; processing the input data using a decision algorithm associated with the production layer and specifying two or more classification operations and a sequence thereof; and sorting the processed defects in accordance with predefined bins, wherein each bin is associated with at least one classification operation, wherein at least one classification operation sorts at least part of the processed defects to one or more classification bins to yield finally classified defects, and wherein each classification operation, excluding the last one, sorts at least part of the processed defects to be processed by one or more of the following classification operations.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: July 26, 2016
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Mark Geshel, Zvi Goren, Efrat Rozenman
  • Patent number: 9390486
    Abstract: A method and system for aligning a DUT image for testing. The alignment is performed by obtaining an optical image of the DUT from an optical system; obtaining a computer aided design (CAD) data having CAD layers of the DUT; constructing a CAD image of the DUT by overlaying the CAD layers; operating on the CAD image to generate a synthetic image simulating an optical image of the DUT; generating a difference image by comparing the optical image to the synthetic image; and, varying parameters of the synthetic image so as to minimize the difference image.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: July 12, 2016
    Inventor: Neeraj Khurana
  • Patent number: 9386243
    Abstract: A lens shading correction method includes providing lens shading correction profile data; calculating an intensity values of light passing through each of one or more visible light pass filters and each of one or more infrared light pass filters; calculating an average of the intensity values of the light passing through the one or more visible light pass filters; calculating an average of the intensity values of the light passing through the one or more infrared light pass filters; calculating a normalized intensity value of the light passing through the one or more infrared light pass filters, based on the calculated averages; adjusting one or more lens shading correction coefficients included in the lens shading correction profile data and each having a value varying depending on a frequency element of light, based on the calculated normalized intensity value; and correcting lens shading by using the adjusted lens shading correction coefficient.
    Type: Grant
    Filed: December 22, 2014
    Date of Patent: July 5, 2016
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dongpan Lim, Taechan Kim
  • Patent number: 9372399
    Abstract: An imprint lithography method is disclosed for reducing a difference between an intended topography and an actual topography arising from a part of a patterned layer of fixed imprintable medium. The method involves imprinting an imprint lithography template into a layer of flowable imprintable medium to form a patterned layer in the imprintable medium, and fixing the imprintable medium to form a patterned layer of fixed imprintable medium. Local excitation is applied to the part of the patterned layer to adjust a chemical reaction in the part of the patterned layer to reduce the difference between the intended topography and the actual topography arising from the part of the fixed patterned layer of imprintable medium when this is subsequently used as a resist for patterning the substrate. An imprint medium suitable for imprint lithography with the method is also disclosed.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: June 21, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Martinus Bernardus Van Der Mark, Vadim Yevgenyevich Banine, Andre Bernardus Jeunink, Johan Frederik Dijksman, Sander Frederik Wuister, Emiel Andreas Godefridus Peeters, Johan Hendrik Klootwijk, Roelof Koole, Christianus Martinus Van Heesch, Ruediger Guenter Mauczok, Jacobus Bernardus Giesbers
  • Patent number: 9367910
    Abstract: A method and system to analyze various dimensional parameters of a structure, such as a self-assembled block copolymer structure whether formed by graphoepitaxy or chemical epitaxy. The method involves image processing including median filtering and feature detection to determine critical dimension information, and optionally the use of a Hough transform to find periodicity values and to determine placement errors.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: June 14, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Christianus Martinus Van Heesch, Hieronymus Johannus Christiaan Meessen
  • Patent number: 9360436
    Abstract: The disclosure provides an inspection device including a light source module, an image receiving module and a processing unit. The light source module emits a first incident light and a second incident light to a device under test (DUT). The image receiving module receives a first image corresponding to the DUT irradiated by the first incident light, and receives a second image corresponding to the DUT irradiated by the second incident light. The processing unit calculates the contrast ratio of the first image and the second image to obtain a high-contrast image for inspection.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: June 7, 2016
    Assignee: Industrial Technology Research Institute
    Inventors: Yi-Chen Hsieh, Chih-Jung Chiang, Fu-Cheng Yang
  • Patent number: 9360863
    Abstract: Various embodiments for determining parameters for wafer inspection and/or metrology are provided.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: June 7, 2016
    Assignee: KLA-Tencor Corp.
    Inventors: Govind Thattaisundaram, Mohan Mahadevan, Ajay Gupta, Chien-Huei Adam Chen, Ashok Kulkarni, Jason Kirkwood, Kenong Wu, Songnian Rong
  • Patent number: 9311047
    Abstract: A matching circuit includes pattern circuits, and a signal path in which the pattern circuits are series-connected, wherein each of the pattern circuits connected to a preceding-stage pattern circuit through the signal path is settable in a first operation mode and in a second operation mode, wherein each of the pattern circuits in the first operation mode generates a result of matching in response to both a result of matching supplied from a preceding-stage pattern circuit and a result obtained by matching data supplied from the preceding-stage pattern circuit against part of a regular expression pattern, and wherein each of the pattern circuits in the second operation mode generates a result of matching in response to a result obtained by matching the data supplied from the preceding-stage pattern circuit against part of a regular expression pattern, without relying on a result of matching supplied from the preceding-stage pattern circuit.
    Type: Grant
    Filed: March 25, 2014
    Date of Patent: April 12, 2016
    Assignee: FUJITSU LIMITED
    Inventors: Shinichiro Tago, Hiroya Inakoshi
  • Patent number: 9311698
    Abstract: Various embodiments for detecting defects on a wafer are provided. Some embodiments include matching a template image, in which at least some pixels are associated with regions in the device having different characteristics, to output of an electron beam inspection system and applying defect detection parameters to pixels in the output based on the regions that the pixels in the output are located within to thereby detect defects on the wafer.
    Type: Grant
    Filed: January 9, 2013
    Date of Patent: April 12, 2016
    Assignee: KLA-Tencor Corp.
    Inventors: Xing Chu, Jan A. Lauber, J. Rex Runyon
  • Patent number: 9299150
    Abstract: A method of recording the location of a point of interest on an object, the method comprising capturing a digital image of an object having a point of interest, accessing a three-dimensional virtual model of the object, aligning the image with the model, calculating the location of the point of interest with respect to the model, and recording the calculated point of interest location. Also, a system for performing the method.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: March 29, 2016
    Assignee: Airbus Operations Limited
    Inventors: Lee Dann, Joerg Reitmann
  • Patent number: 9297739
    Abstract: A method and device for estimating a porosity ratio of a sample of material from at least one gray-scale coded image. The method includes: evaluating an intermediate ratio of a sample for each value of a plurality of gray-scale threshold values lying between two determined limit values, the intermediate ratio being equal to a ratio of a number of pixels of the at least one image having a gray-scale value bounded by the threshold value to a total number of pixels of the at least one image; and estimating the porosity ratio of the sample by analyzing variations in the intermediate ratio as a function of the threshold value.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: March 29, 2016
    Assignee: SNECMA
    Inventors: Julien Schneider, Benedicte Marie Le Borgne
  • Patent number: 9299135
    Abstract: An inspection system, and a computer program product that stores instructions for: obtaining an aerial image of an area of the mask; wherein the aerial image represents an expected image to be formed on a photoresist of an object during a lithography process that involves illuminating the area of the mask, by a lithography tool; wherein the photoresist has a printability threshold; wherein the lithography process exhibits a process window that introduces allowable changes at pixels of the expected image that do not exceed an intensity threshold; and searching for at least one weak point at the area of the mask, which is either a local extremum point of the aerial image spaced apart from the printability threshold by a distance that does not exceed the intensity threshold or a crossing point of the printability threshold and being of a slope that is below a predefined threshold.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: March 29, 2016
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Aviram Tam, Michael Ben-Yishai, Yaron Cohen
  • Patent number: 9251581
    Abstract: A method for promoting semiconductor manufacturing yield comprising the following steps and a computer readable medium encoded with a computer program implementing the method is provided. First, a processed layer is inspected to generate an inspected image with defects thereon. Next, the inspected image is aligned to an original design layout information of the processed layer. In addition, the defects are classified according to geometric features of the original design layout information of the processed layer and at least previous one layer and/or at least next one layer.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: February 2, 2016
    Assignee: HERMES MICROVISION, INC.
    Inventors: Shih-Tsung Chen, Wei Fang, Yu-Tsorng Fu, Futang Peng, Zhao-Li Zhang
  • Patent number: 9231015
    Abstract: A monolithic backside-sensor-illumination (BSI) image sensor has a sensor array is tiled with a multiple-pixel cells having a first pixel sensor primarily sensitive to red light, a second pixel sensor primarily sensitive to red and green light, and a third pixel sensor having panchromatic sensitivity, the pixel sensors laterally adjacent each other. The image sensor determines a red, a green, and a blue signal comprising by reading the red-sensitive pixel sensor of each multiple-pixel cell to determine the red signal, reading the sensor primarily sensitive to red and green light to determine a yellow signal and subtracting the red signal to determine a green signal. The image sensor reads the panchromatic-sensitive pixel sensor to determine a white signal and subtracts the yellow signal to provide the blue signal.
    Type: Grant
    Filed: September 24, 2012
    Date of Patent: January 5, 2016
    Assignee: OmniVision Technologies, Inc.
    Inventors: Gang Chen, Duli Mao, Dyson Hsinchih Tai
  • Patent number: 9208459
    Abstract: A serialization service module is provided for configuring an asset management system to provide a secure means of generating, assigning to chips (or other electronic objects or devices), and tracking unique serial numbers. To provide this service, a controller is used to define a product model, then to define one or more serialization schemas to be bound to each product model. Each serialization schema contains a range of serial numbers for a particular product. The serial number schemas are sent over a secure, encrypted connection to appliances at the manufacturer's location. Agents can then request serial number values by product name. The serial numbers are generated by the appliance, metered, and provided to the agents. The serial numbers are then injected sequentially into each die in a chip manufacturing process using the agent.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: December 8, 2015
    Assignee: Certicom Corp.
    Inventors: Eric Fung, Brian Neill, Chi Chiu Tse
  • Patent number: 9207539
    Abstract: The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: December 8, 2015
    Assignee: Multibeam Corporation
    Inventors: David K. Lam, Kevin M. Monahan, Theodore A. Prescop, Cong Tran
  • Patent number: 9195888
    Abstract: A document registration apparatus includes a receiving unit that receives a request for registration of a registration candidate document from a new registrant, a word extracting unit that extracts a word from the registration candidate document, a registrant information acquiring unit that acquires information on the new registrant, an associating unit that associates the extracted word with a group to which the new registrant belongs, a first storage unit that stores history information, a second storage unit that stores an identifier of a previous registrant and a group to which the previous registrant has belonged, an extracting unit that extracts an identifier of a previous registrant who registered a word identical to the extracted word, and extracts a group to which the previous registrant has belonged, a registration permission determining unit that determines whether to allow registration, and a document registering unit that registers the registration candidate document.
    Type: Grant
    Filed: June 16, 2014
    Date of Patent: November 24, 2015
    Assignee: FUJI XEROX CO., LTD.
    Inventor: Kazuya Tanaka
  • Patent number: 9184027
    Abstract: The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: November 10, 2015
    Assignee: Multibeam Corporation
    Inventors: David K. Lam, Kevin M. Monahan, Theodore A. Prescop, Cong Tran
  • Patent number: 9177370
    Abstract: Systems and methods for providing micro defect inspection capabilities for optical systems are disclosed. Each given wafer image is filtered, treated and normalized prior to performing surface feature detection and quantification. A partitioning scheme is utilized to partition the wafer image into a plurality of measurement sites and metric values are calculated for each of the plurality of measurement sites. Furthermore, transformation steps may also be utilized to extract additional process relevant metric values for analysis purposes.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: November 3, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Haiguang Chen, Sergey Kamensky, Jaydeep Sinha, Pradeep Vukkadala
  • Patent number: 9177372
    Abstract: Acquired mask data of a defect portion is sent to a simulated repair circuit 300 to be simulated. The simulation of the acquired mask data 204 is returned to the mask inspection results 205 and thereafter sent to a wafer transfer simulator 400 along with a reference image at the corresponding portion. A wafer transfer image estimated by the wafer transfer simulator 400 is sent to a comparing circuit 301. When it is determined that there is a defect in the comparing circuit 301, the coordinates and the wafer transfer image which is a basis for the defect determination are stored as transfer image inspection results 206. The mask inspection results 205 and the transfer image inspection result 206 are then sent to the review device 500.
    Type: Grant
    Filed: January 21, 2015
    Date of Patent: November 3, 2015
    Assignee: NUFLARE TECHNOLOGY, INC.
    Inventors: Hideo Tsuchiya, Takayuki Abe
  • Patent number: 9165342
    Abstract: An image data processing including a storage unit for storing a first pixel block and a second pixel block taken from image data in which pixels are arranged in a first direction and a second direction, and a writing module for writing the first pixel block and the second pixel block stored in the storage unit into a memory, wherein the first pixel block and the second pixel block are arranged one adjacent to the other along the first direction, and the number of pixels arranged in the first direction in each block is equal to an access unit data length of the memory multiplied by a natural number m1, and the writing module writes pixel data equal in length to the access unit data length, and representing pixels contiguously arranged along the first direction across the first and second pixel blocks, into the memory in a single access operation.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: October 20, 2015
    Assignee: PFU Limited
    Inventors: Miki Asukai, Hideyo Kawara
  • Patent number: 9165355
    Abstract: An inspection method comprising, virtually dividing a sample, in which a plurality of chip patterns are formed, into a plurality of strip-shaped stripes along a predetermined direction to acquire an optical image of the chip pattern in each of the stripes, performing filtering based on design data of the chip pattern to produce a reference image corresponding to the optical image, comparing the chip pattern using a die-to-database method and comparing a repetitive pattern portion in the chip pattern using a cell method, obtaining at least one of a dimension difference and a dimension ratio between a pattern of the optical image and a pattern of the reference image compared to the pattern of the optical image by the die-to-database method; and obtaining a dimension distribution of the plurality of chip patterns from at least one of the dimension difference and the dimension ratio.
    Type: Grant
    Filed: March 13, 2014
    Date of Patent: October 20, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Hideo Tsuchiya, Manabu Isobe, Hiroteru Akiyama, Makoto Yabe, Takafumi Inoue, Nobutaka Kikuiri
  • Patent number: 9164371
    Abstract: According to one embodiment, a method of correcting defects in a reflection-type mask is provided, which comprises acquiring a mask-pattern image of the mask, by using a mask-defect correction apparatus includes a mechanism configured to detect a defect in the mask and a mechanism configured to correct the defect, acquiring a simulated wafer-transfer optical image for the mask, by using an AIMS configured to simulate a wafer-transfer optical image, thereby to determine whether the mask is defective, locating a mask defect, in a mask-pattern image acquired by the mask-defect correction apparatus, by referring to the simulated pattern image acquired by the AIMS, and correcting the defect by the mask-defect correction apparatus, on the basis of the position of the mask defect, thus detected.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: October 20, 2015
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventor: Takashi Kamo
  • Patent number: 9151712
    Abstract: A design feature in a design file including a pattern to be formed on a substrate may be selected as a metrology target, alignment target or inspection proxy. Metrology or inspection may be performed on the substrate using a printed feature on the substrate that corresponds to the design feature as a metrology target or inspection proxy.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: October 6, 2015
    Assignee: KLA-Tencor Corporation
    Inventors: Michael Adel, Ellis Chang
  • Patent number: 9135679
    Abstract: Provided is a method and apparatus for restoring color of an input image. The method includes: separating multiple band information of a near infrared (NIR) channel from information of the input image by using a correlation between a color channel and the NIR channel in the input image; obtaining estimated invisible light band information of the NIR channel from the multiple band information of the NIR channel and estimated multiple band information of the NIR channel which is estimated from the color channel; estimating invisible light band information of the color channel based on the estimated invisible light band information of the NIR channel; and restoring a visible light band image of the color channel by removing the estimated invisible light band information of the color channel from multiple band information of the color channel included in the information of the input image.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: September 15, 2015
    Assignees: INDUSTRY-ACADEMIC COOPERATION FOUNDATION, YONSEI UNIVERSITY, Hanwha Techwin Co., Ltd.
    Inventors: Eun-Cheol Choi, Moon-gi Kang, Hyun-mook Oh, Chul-Hee Park
  • Patent number: 9117053
    Abstract: An enhanced optical proximity correction method is provided. The method includes providing a mask substrate and a substrate and obtaining a customer target pattern. The method also includes obtaining a production layout by performing an optical proximity correction process onto the customer target pattern using the pattern and a pattern formed on the substrate. Further, the method includes obtaining the light intensity information instead of dimension of the production layout. Further, the method includes storing the light intensity information of the production layout, the production layout and surrounding coherence radius in an optical proximity correction model database if the light intensity information of the production layout does not coincide with light intensity information of original modeling patterns already stored in the optical proximity correction model database.
    Type: Grant
    Filed: October 7, 2014
    Date of Patent: August 25, 2015
    Assignees: Semiconductor Manufacturing International (Beijing) Corporation, Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Hui Wang
  • Patent number: 9110282
    Abstract: An optical imaging method based on a feedback principle in which the specific scan pattern is adapted according to the shape of the sample. The feedback approach produces nanometer-resolved three dimensional images of very small and moving features in live cells and in a matter of seconds. Images of microvilli in live cultured opossum kidney cells expressing NaPi co-transporter proteins with different GFP constructs and images of cell protrusions in a collagen matrix are produced with a resolution of about 20 nm. Along cell protrusions in three dimensional cellular adhesions could be identified to the extracellular matrix.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: August 18, 2015
    Assignee: The Regents of the University of California
    Inventors: Luca Lanzano, Michelle Digman, Enrico Gratton
  • Patent number: 9094163
    Abstract: Methods and systems for improving the quality of transmitted data are described. Multiple distinct communication channels are used to transmit segments representing the same pre-transmission block of a data packet. Upon receipt of these segments, a system identifies differences between the segments for those segments that meet a quality threshold. The system selects one of segments for subsequent transmission or re-assembly into a data packet based on the prior performance of the communication channels used to transmit the segments.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: July 28, 2015
    Assignee: AOptix Technologies, Inc.
    Inventors: Eric Saint Georges, Joseph Shiran, Scott Alan Young
  • Patent number: 9057873
    Abstract: In order to provide a technique for performing global alignment (detecting position shift and rotation of a wafer) stably and automatically using an optical microscope, as a pattern for global alignment, multiple alignment pattern candidates are calculated (107), multiple data for matching are created for each alignment pattern (108), matching is performed with respect to the data for matching for each alignment pattern in descending order of appropriateness as an alignment pattern with an image (113) based on an image signal from the optical microscope (114), and the amount of position shift and the amount of rotation of the wafer are calculated (116) on the basis of the results of matching (115).
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: June 16, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Atsushi Miyamoto, Naoki Hosoya, Toshikazu Kawahara, Akihiro Onizawa
  • Patent number: 9047532
    Abstract: A method, a system and a computer program product for evaluating an actual structural element of an electrical circuit. The method includes: detecting an actual structural element contour by processing a scanning electron microscope image of the actual structural element; aligning the actual structural element contour with a simulated contour to provide an aligned actual structural element contour; wherein the simulated contour is obtained by simulating a lithographic process that is responsive to a design contour; and comparing between the aligned actual structural element contour and reference information.
    Type: Grant
    Filed: January 24, 2008
    Date of Patent: June 2, 2015
    Assignee: APPLIED MATERIALS ISRAEL, LTD.
    Inventors: Ovadya Menadeva, Sergey Latinski
  • Patent number: 9046754
    Abstract: Disclosed are apparatuses, methods, and lithographic systems for EUV mask inspection. An EUV mask inspection system can include an EUV illumination source, an optical system, and an image sensor. The EUV illumination source can be a standalone illumination system or integrated into the lithographic system, where the EUV illumination source can be configured to illuminate an EUV radiation beam onto a target portion of a mask. The optical system can be configured to receive at least a portion of a reflected EUV radiation beam from the target portion of the mask. Further, the image sensor can be configured to detect an aerial image corresponding to the portion of the reflected EUV radiation beam. The EUV mask inspection system can also include a data analysis device configured to analyze the aerial image for mask defects.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: June 2, 2015
    Assignee: ASML Holding N.V.
    Inventors: Harry Sewell, Eric Brian Catey, Adel Joobeur, Yevgeniy Konstantinovich Shmarev
  • Patent number: 9036897
    Abstract: A computer readable storage medium is provided, storing a computer-executable program for causing a computer to determine at least one of mask pattern and exposure condition of an exposure apparatus having an illumination optical system for illuminating a mask with light from a light source and a projection optical system for projecting the mask pattern onto a substrate. The program causes the computer to perform calculation of an image of a pattern on an object plane of the projection optical system using information about lateral shift of an image caused by the exposure apparatus, and determination of at least one of the exposure condition and the mask pattern based on a calculation result.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: May 19, 2015
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Hiroyuki Ishii, Yuichi Gyoda, Koji Mikami, Kouichirou Tsujita
  • Patent number: 9036896
    Abstract: A method and system for imaging an object to be inspected and obtaining an optical image; creating a reference image from design pattern data; preparing an inspection recipe including one or more templates and parameter settings necessary for the inspection; checking the pattern and the template against each other, and selecting the reference image which corresponds to the template; detecting first and second edges in the selected reference image in accordance with the parameter setting using determined coordinates as a reference; detecting first and second edges in the optical image, this optical image corresponds to the selected reference image; and determining an inspection value by acquiring the difference between the line width of the optical image and the reference image using the first edge and second edge of the reference image and the first edge and second edges of the optical image.
    Type: Grant
    Filed: April 8, 2011
    Date of Patent: May 19, 2015
    Assignees: NuFlare Technology, Inc., Kabushiki Kaisha Toshiba
    Inventors: Takanao Touya, Shuichi Tamamushi, Hidenori Sato, Hiroyuki Tanizaki, Takeshi Fujiwara, Eiji Sawa, Kentaro Okuda, Hiroyuki Ikeda, Hiromu Inoue, Hiroshi Tsukada
  • Publication number: 20150131891
    Abstract: Provided is a method of detecting a defect of a pattern using vectorization to increase accuracy and efficiency in OPC modeling and OPC verification. The method includes acquiring a target layout image associated with a target pattern, acquiring a pattern image associated with a pattern formed on a substrate, extracting an edge image from the pattern image, producing a first vector form based on the target layout image, producing a second vector form based on the edge image, and comparing the first vector form with the second vector form.
    Type: Application
    Filed: July 15, 2014
    Publication date: May 14, 2015
    Inventors: Ki-Hyun KIM, Kai-Yuan CHI, Dmitry VENGERTSEV, Seung-Hune YANG
  • Publication number: 20150131892
    Abstract: Acquired mask data of a defect portion is sent to a simulated repair circuit 300 to be simulated. The simulation of the acquired mask data 204 is returned to the mask inspection results 205 and thereafter sent to a wafer transfer simulator 400 along with a reference image at the corresponding portion. A wafer transfer image estimated by the wafer transfer simulator 400 is sent to a comparing circuit 301. When it is determined that there is a defect in the comparing circuit 301, the coordinates and the wafer transfer image which is a basis for the defect determination are stored as transfer image inspection results 206. The mask inspection results 205 and the transfer image inspection result 206 are then sent to the review device 500.
    Type: Application
    Filed: January 21, 2015
    Publication date: May 14, 2015
    Applicant: NuFlare Technology, Inc.
    Inventors: Hideo Tsuchiya, Takayuki Abe
  • Patent number: 9031313
    Abstract: The entire surface of a photomask 101 is inspected after data and parameters of the lithography simulator are set in the operation setting screen of a control computer 110 and after the inspection system 100 is calibrated. The coordinates of a portion or portions determined in the inspection to be a defect are written into an XML file. When the inspection system 100 is in the die-to-database inspection mode, the control computer 110 reads pattern data from the database, which data is used by the inspection system 100 to generate reference data, and then converts the read pattern data into OASIS format, which is highly versatile. The optical image captured by the inspection system 100 is converted into a bitmap. These data are sent to the lithography simulator, together with simulation operating conditions and the image data that was used to calibrate the inspection system 100.
    Type: Grant
    Filed: May 17, 2010
    Date of Patent: May 12, 2015
    Assignees: NuFlare Technology, Inc., Kabusiki Kaisha Toshiba, NEC Corporation
    Inventors: Hideo Tsuchiya, Fumio Ozaki
  • Patent number: 9029050
    Abstract: The present disclosure provides a method of repairing a mask. The method includes inspecting the mask using a mask inspection tool to identify a defect on a circuit pattern of the mask; repairing the defect using a mask repair tool to form a repaired pattern; forming a first group of diffraction images of the repaired pattern and a second group of diffraction images of a reference feature; and validating the mask by comparing the first group of diffraction images with the second group of diffraction images.
    Type: Grant
    Filed: May 15, 2013
    Date of Patent: May 12, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fu-Sheng Chu, Yuan-Chih Chu
  • Patent number: 9002094
    Abstract: A reference image to serve as a reference for a non-defective determination is previously stored in association with identification information for identifying an inspection object. An image of the inspection object is displayed side by side with the reference image of corresponding identification information. A drawn position of the reference image and a drawn position of the acquired image are aligned, adjustment is made so as to make brightness of the reference image coincide with brightness of the acquired image, and adjustment is made so as to make a focus on the reference image coincide with a focus on the acquired image. Adjustment is made so as to make a focus of the reference image coincide with a focus of the acquired image.
    Type: Grant
    Filed: November 26, 2012
    Date of Patent: April 7, 2015
    Assignee: Kenyence Corporation
    Inventor: Aruto Hirota
  • Patent number: 8995029
    Abstract: Systems and methods for providing laser texturing of solid substrates are disclosed. The texturing may be used to provide grayscale images obtainable from substrates, which may include steel, aluminum, glass, and silicon. In some embodiments, images may be obtainable from the substrate by modifying the reflective, diffractive, and/or absorptive features of the substrate or the substrate surface by forming random, periodic, and/or semi-periodic micro-structure features on the substrate (or substrate surface) by an ultrafast laser pulse train. The ultrafast pulse train may be modulated in order to vary, for example, optical exposure time, pulse train intensity, laser polarization, laser wavelength, or a combination of the aforementioned. The ultrafast pulse train and the substrate may be scanned with respect to each other to provide different optical energies to different regions of the substrate (or substrate surface).
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: March 31, 2015
    Assignee: IMRA America, Inc.
    Inventors: Lawrence Shah, Martin E. Fermann
  • Patent number: 8995745
    Abstract: A device for imaging and processing a workpiece having nanometric features through the use of at least one charged particle beam, by both fully automated procedures and manual assistance procedures. The device includes a user interface, including a schedule input entry device and a human operator ready input that can be placed in a first state or a second state and a procedure scheduler, accepting a schedule of procedures, including fully automated procedures and manual assistance procedures, from the schedule input entry device. Additionally, a procedure sequencer that, when the human operator ready input is in the second state, sequences through fully automated procedures until the human operator ready input is placed into the first state, at which time the sequencer begins sequencing the manual assistance procedures, after reaching a safe termination point for the fully automated procedures being performed.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: March 31, 2015
    Assignee: FEI Company
    Inventors: Richard J. Young, Ryan Tanner, Reinier Louis Warschauer
  • Patent number: 8989477
    Abstract: Provide is a process monitoring device in a semiconductor manufacturing apparatus that can readily and reliably monitor the process in the semiconductor manufacturing apparatus. The process monitoring device includes a storage unit that stores a normal state moving image data indicating a normal state of the process; an image capturing unit that captures an image of a state of the process to be monitored to acquire a moving image data; an abnormality level calculation unit configured to extract a feature amount for each frame of the moving image data and the normal state moving image data, and calculate an abnormality level based on the extracted feature amount; and a display unit that displays the abnormality level calculated by the abnormality level calculation unit in association with a frame position of the moving image data.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Yasutoshi Umehara, Motoi Okada, Shuji Iwanaga
  • Patent number: 8986913
    Abstract: According to one embodiment, a method of inspecting a mask substrate for defects, includes acquiring a defocus image of a partial region of a mask substrate using a dark-field optical system, acquiring a just-focus image of the partial region using the dark-field optical system, generating a set composed of first signals obtained from the defocus image and having signal intensities equal to or higher than a first threshold value, excluding, from the set, the first signals pertaining to parts in which signal intensities of signals obtained from the just-focus image are equal to or higher than a second threshold value, determining an inspection threshold value for signal intensities, on the basis of the first signals not excluded from, and remaining in, the sea.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 24, 2015
    Assignees: Kabushiki Kaisha Toshiba, Dai Nippon Printing Co., Ltd.
    Inventors: Takeshi Yamane, Tsuneo Terasawa
  • Patent number: 8983113
    Abstract: Acquired mask data of a defect portion is sent to a simulated repair circuit 300 to be simulated. The simulation of the acquired mask data 204 is returned to the mask inspection results 205 and thereafter sent to a wafer transfer simulator 400 along with a reference image at the corresponding portion. A wafer transfer image estimated by the wafer transfer simulator 400 is sent to a comparing circuit 301. When it is determined that there is a defect in the comparing circuit 301, the coordinates and the wafer transfer image which is a basis for the defect determination are stored as transfer image inspection results 206. The mask inspection results 205 and the transfer image inspection result 206 are then sent to the review device 500.
    Type: Grant
    Filed: December 5, 2012
    Date of Patent: March 17, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Hideo Tsuchiya, Takayuki Abe
  • Patent number: 8977988
    Abstract: A method of optical proximity correction executed by a computer system and integrated circuit layout formed by the same, the step of optical proximity correction comprises: providing an integrated circuit layout with a plurality of parallel line patterns, wherein one side of at least one line pattern is provided with a convex portion; and modifying the integrated circuit layout by forming a concave portion corresponding to the convex portion at the other side of the line pattern.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: March 10, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Kuan-Wen Fang, Chin-Lung Lin, Kuo-Chang Tien, Yi-Hsiu Lee, Chien-Hsiung Wang
  • Patent number: 8972909
    Abstract: The present disclosure relates to a method of performing an optical proximity correction (OPC) procedure that provides for a high degree of freedom by using an approximation design layer. In some embodiments, the method is performed by forming an integrated chip (IC) design having an original design layer with one or more original design shapes. An approximation design layer, which is different from the original design layer, is generated from the original design layer. The approximation design layer is a design layer that has been adjusted to remove features that may cause optical proximity correction (OPC) problems. An optical proximity correction (OPC) procedure is then performed on the approximation design layer. By performing the OPC procedure on the approximation design layer rather than on the original design layer, characteristics of the OPC procedure can be improved.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: March 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Cheng Chang, Jau-Shian Liang, Wen-Chen Lu, Chin-Min Huang, Ming-Hui Chih, Cherng-Shyan Tsay, Chien-Wen Lai, Hua-Tai Lin
  • Patent number: 8970916
    Abstract: Provided is an image processing apparatus including a periodicity determining unit that determines whether a determination area including a target defective pixel is a periodicity area, a first pixel value generating unit that generates a pixel value by a first supplementing method, a second pixel value generating unit that generates a pixel value by a pixel second supplementing method, a control unit that determines which of the first pixel value generating unit and the second pixel value generating unit is to be used based on the determination by the periodicity determining unit, a pixel value supplementing unit that inserts the pixel value generated by the pixel value generating unit determined to be adopted, and a pixel value updating unit that updates pixel values of neighboring pixels of the defective pixel.
    Type: Grant
    Filed: January 23, 2012
    Date of Patent: March 3, 2015
    Assignee: Ricoh Company, Ltd.
    Inventor: Satoshi Nakamura
  • Patent number: 8965100
    Abstract: A first simulated inspection is conducted to provide a first waveform data set associated with the at least one irregularity parameter. The first simulated inspection is conducted using a first evaluation setting. A first image is produced based on the first waveform set, and it is determined whether a quality of the first image satisfies a predetermined threshold.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: February 24, 2015
    Assignee: The Boeing Company
    Inventors: John Z. Lin, Hong Tat, Richard H. Bossi