For Carrying Standarized Mechanical Interface (smif) Type Patents (Class 414/217.1)
  • Patent number: 8839959
    Abstract: A component sorting and wasting device is provided with a plurality of waste boxes having respective IDs and arranged at predetermined locations for receiving components to be wasted therein and a component mounting mechanism being capable of picking up components from a component supply device in mounting the picked-up components on circuit boards and of sorting and wasting each of components which are recognized as being unable to be mounted on the circuit boards, in one of the waste boxes selected for the component to be wasted.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: September 23, 2014
    Assignee: Fuji Machine Mfg. Co., Ltd.
    Inventors: Seiichi Teraoka, Takehiro Ido
  • Publication number: 20140271054
    Abstract: Various embodiments of batch load lock apparatus are disclosed. The batch load lock apparatus includes a load lock body including first and second load lock openings, a lift assembly within the load lock body, the lift assembly including multiple wafer stations, each of the multiple wafer stations adapted to provide access to wafers through the first and second load lock openings, wherein the batch load lock apparatus includes temperature control capability (e.g., heating or cooling). Batch load lock apparatus is capable of transferring batches of wafers into and out of various processing chambers. Systems including the batch load lock apparatus and methods of operating the batch load lock apparatus are also provided, as are numerous other aspects.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Inventors: William T. Weaver, Joseph Yudovsky, Jason M. Schaller, Jeffrey C. Blahnik, Robert B. Vopat, Malcolm N. Daniel, JR., Robert Mitchell
  • Publication number: 20140271053
    Abstract: Disclosed are a wafer carrier that keeps wafers under a constant pressure, at any preset value below or above the atmospheric pressure, to prevent wafer contaminations arising from atmospheric exposure in conventional wafer carriers, and also, a wafer transport system and method utilizing the same wafer carrier. The wafer carrier charged with a preset carrier pressure is transported and docked with an airlock of a wafer processing tool comprising the airlock, a vacuum transfer module, and a process chamber. The airlock adjusts, by a gas pump, inner pressure to equate successively with, first, the carrier pressure before opening the carrier door, and next, the vacuum transfer module pressure before opening the latter's door. The wafers are then transferred into the process chamber. After processing, the wafers are transferred back into the wafer carrier and charged with the preset carrier pressure before undocked and transported to the next wafer processing tool.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Patent number: 8827618
    Abstract: A semiconductor workpiece processing system including at least one substrate processing tool that has a common housing with a first side having a first substrate holding container interface and a second side having a second substrate holding container interface having a different orientation than the first substrate holding container interface, a first transport section disposed corresponding to the first side of the tool, a second transport section being separate and distinct from the first transport section and interfacing with the first transport section and being configured to transport the substrate holding container between the first transport section and the tool and between the first side and the second side of the tool, the second transport section including at least one overhead gantry disposed above the tool, where the second transfer section is capable of interfacing with at least the second substrate holding container interface.
    Type: Grant
    Filed: December 3, 2012
    Date of Patent: September 9, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Daniel Babbs, Robert C. May
  • Publication number: 20140161571
    Abstract: A substrate processing apparatus having a plurality of processing modules connected to the substrate transfer chamber and including first and second processing modules configured to perform different types of processing. The apparatus also includes a substrate transfer mechanism performing a carry-in operation of an unprocessed substrate into the substrate transfer chamber, a carry-out operation and a transfer of the substrate between the modules, a dummy substrate holder configured to hold a plurality of dummy substrates, and a control part configured to perform an operation of continuously carrying the dummy substrates from the dummy substrate holder into the first processing module when a waiting time for which the first processing module waits for carry-in of the substrate exceeds a predetermined setting time.
    Type: Application
    Filed: December 5, 2013
    Publication date: June 12, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Keisuke HIRAIDE, Yoshiki YAMADA
  • Patent number: 8727125
    Abstract: A substrate container includes an enclosure and an access structure formed in the enclosure and providing fluid access through the enclosure to an interior of the substrate container. The access structure includes an opening and an inner surface. A grommet is situated against the inner surface of the access structure.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: May 20, 2014
    Assignee: Entegris, Inc.
    Inventors: Anthony Mathius Tieben, John Lystad, David L. Halbmaier
  • Publication number: 20140112739
    Abstract: A substrate processing apparatus includes a processing vessel configured to process a substrate; a first purging part configured to perform a first purge to supply inert gas at a first flow rate into a substrate container accommodating the substrate; and a second purging part configured to perform a second purge to supply inert gas at a second flow rate into the substrate container, the second flow rate being lower than the first flow rate.
    Type: Application
    Filed: September 30, 2013
    Publication date: April 24, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Makoto HIRANO, Akinari HAYASHI
  • Publication number: 20140064885
    Abstract: Provided is a cover opening/closing apparatus which includes: a wafer conveyance port having an opening edge and configured to be opened/closed by an opening/closing door; and a cover removal apparatus installed on the opening/closing door and configured to remove a cover of a FOUP which is formed with a substrate outlet having a opening edge. When the cover removal apparatus removes the cover of the FOUP, the opening edge of the substrate outlet is closely contacted with the opening edge of the wafer conveyance port. The cover removal apparatus includes: a latch key which is engaged with the cover of the FOUP, a driving unit configured to drive the latch key, and an accommodation unit configured to accommodate the driving unit. The cover opening/closing apparatus further includes an exhaust system configured to exhaust a space within the accommodation unit.
    Type: Application
    Filed: September 4, 2013
    Publication date: March 6, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Katsuhiko Oyama, Yasushi Takeuchi
  • Publication number: 20140044505
    Abstract: A bypass route is provided in order to transfer a substrate without passing through the atmospheric pressure transfer chamber, that is, a loader module, from a load lock chamber to a storage. In the bypass route, a sub-transfer unit for transferring the processed substrate from the load lock chamber to the storage is provided. The sub-transfer unit transfers the processed substrate from the load lock chamber to the storage, and a main transfer unit of the loader module returns the processed substrate from the storage to a transport container on holding stage.
    Type: Application
    Filed: October 18, 2013
    Publication date: February 13, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu HIROKI
  • Patent number: 8616821
    Abstract: The present disclosure provides a system and method for processing a semiconductor substrate wherein a substrate is received at a load lock interface. The substrate is transferred from the load lock interface to a process module using a first module configured for unprocessed substrates. A manufacturing process is performed on the substrate within the process module. Thereafter, the substrate is transferred from the process module to the load lock interface using a second module configured for processed substrates.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: December 31, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shao-Yen Ku, Chi-Ming Yang, Ming-Tsao Chiang, Yu-Fen Tzeng, Chin-Hsiang Lin
  • Patent number: 8616820
    Abstract: Provided herein is a double dual slot load lock chamber. The double dual slot load lock chamber includes two isolated load lock regions that are vertically stacked and share a common wall, wherein each isolated load lock region comprises two substrate slots.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan
  • Patent number: 8602707
    Abstract: Embodiments of the invention generally relate to a levitating substrate carrier or support. In one embodiment, a substrate carrier for supporting and carrying at least one substrate or wafer is provided which includes a substrate carrier body containing an upper surface and a lower surface, and at least one indentation pocket disposed within the lower surface. In another embodiment, the substrate carrier includes at least open indentation area within the upper surface, and at least two indentation pockets disposed within the lower surface. Each indentation pocket may be rectangular and have four side walls extending substantially perpendicular to the lower surface.
    Type: Grant
    Filed: May 29, 2009
    Date of Patent: December 10, 2013
    Assignee: Alta Devices, Inc.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus, Melissa Archer, Harry Atwater, Stewart Sonnenfeldt
  • Patent number: 8591163
    Abstract: To ensure that an abnormality in a closed state of a FOUP door serving as a lid of a FOUP can be detected quickly and reliably, a FOUP opener includes a port door attached detachably to an opening window in a port plate for separating the interior and exterior of a semiconductor processing device, and a dock unit for positioning the FOUP in a FOUP door attachment/detachment position. A suction disc mechanism and a lock mechanism for fixing the FOUP door to a FOUP main body and releasing the FOUP door are disposed on the port door. A FOUP door detection sensor for determining whether or not the FOUP door fixed to the FOUP main body, which is positioned in the FOUP door attachment/detachment position on the dock unit, is attached correctly to an opening portion of the FOUP main body is disposed on the port plate.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: November 26, 2013
    Assignee: Hirata Corporation
    Inventors: Noriyoshi Toyoda, Hirofumi Nakamura
  • Patent number: 8562273
    Abstract: Provided is a load port apparatus having a structure capable of resisting against a moment generated at a time of driving of a door increased in weight owing to upsizing. A pair of rectangular main bases, which project perpendicularly to an attachment surface of the load port apparatus and elongated parallel to the attachment surface, are coupled to each other with a coupling shaft, and the structural body including those components is fixed to the attachment surface through intermediation of I-shaped plates capable of being held in close surface contact with the attachment surface. Mechanisms of a door drive mechanism, a placing table, and the like are arranged on an inner side between the pair of main bases of the structural body.
    Type: Grant
    Filed: August 18, 2011
    Date of Patent: October 22, 2013
    Assignee: TDK Corporation
    Inventors: Hiroshi Igarashi, Toshihiko Miyajima
  • Publication number: 20130183122
    Abstract: The wafer transport apparatus prevents contaminant deposited on an unprocessed wafer from adhering to a processed wafer. Carrying-in load port 2A is loaded with a FOUP 1 storing an unprocessed wafer W1. Carrying-in chamber 3A has a transport robot 4A which takes out the unprocessed wafer W1 from the FOUP 1. Carrying-in load lock 5A is accessed by the transport robot 4A from the carrying-in chamber 3A side. Carrying-out load port 2B is loaded with the FOUP 1 that can store a processed wafer W2. Carrying-out chamber 3B has a transport robot 4B which passes the processed wafer W2 to the FOUP 1. Carrying-out load lock 5B is accessed by the transport robot 4B from the carrying-out chamber 3B side. The carrying-in chamber 3A and carrying-out chamber 3B are separated from each other. The carrying-in load lock 5A and carrying-out load lock 5B are arranged on different stages.
    Type: Application
    Filed: January 14, 2013
    Publication date: July 18, 2013
    Applicant: SINFONIA TECHNOLOGY CO., LTD.
    Inventor: Sinfonia Technology Co., Ltd.
  • Patent number: 8485771
    Abstract: In a load port apparatus, a door driving mechanism that supports a door through a communication opening portion leading to a mini-environment is housed in a housing chamber that is in communication with the mini-environment through the communication opening portion. Exhaust opening portions are provided in the upper portion of a wall of the housing chamber that is opposed to the communication opening portion and a bottom wall of the housing chamber. Thus, gas passages from the mini-environment to the housing chamber and then to the external space are formed. Thus, dust in the load port apparatus is removed.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: July 16, 2013
    Assignee: TDK Corporation
    Inventors: Mutsuo Sasaki, Hitoshi Suzuki, Koichiro Oikawa
  • Patent number: 8480346
    Abstract: The goal of the present invention is to provide an apparatus capable of cooperation with at least one piece of wafer processing equipment. The apparatus is connected to a first equipment via a first opening, to a wafer transport case via a second opening and to a second piece of equipment via a third opening. The apparatus is capable of removing a basket of parallel stacked trays of wafers from a wafer transport case. The apparatus is capable of placing and supporting the wafers in order to move them to the first equipment through the second opening and to the second piece of equipment through the third opening.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: July 9, 2013
    Assignee: Alcatel-Lucent
    Inventors: Erwan Godol, Emmanuelle Veran
  • Patent number: 8462008
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8462009
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: June 11, 2013
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 8348583
    Abstract: A loader is provided, which is disposed in a low cleanliness room along a border between the low cleanliness room and a high cleanliness room, for transporting a dust free article between an inside of a container receiving the dust free article and the high cleanliness room, comprising a movable stage for mounting the container; an opening portion through which the dust free article is transported between the container and the high cleanliness room; a door for opening and closing the opening portion; a unifying means for unifying a cover of the container and the door when the container approaches the door; and a driving apparatus for moving the cover and the door unified within the loader to open and close the opening portion and the container.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: January 8, 2013
    Assignee: Rorze Corporation
    Inventors: Toshiaki Fujii, Osamu Horita, Koji Ohyama, Toshiya Nakayama, Fumio Sakiya, Mineo Kinpara
  • Patent number: 8303764
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: November 6, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 8293066
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: October 23, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 8272827
    Abstract: In accordance with an exemplary embodiment a semiconductor workpiece processing system having at least one processing tool for processing semiconductor workpieces, a container for holding at least one semiconductor workpiece therein for transport to and from the at least one processing tool and a first transport section elongated and defining a travel direction. The first transport section has parts, that interface the container, supporting and transporting the container along the travel direction to and from the at least one processing tool. The container is in substantially continuous transport at a substantially constant rate in the travel direction, when supported by the first transport section. A second transport section is connected to the at least one process tool for transporting the container to and from the at least one processing tool.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: September 25, 2012
    Inventors: Michael L. Bufano, Ulysses Gilchrist, William Fosnight, Christopher Hofmeister, Daniel Babbs, Robert C. May
  • Patent number: 8267634
    Abstract: A semiconductor workpiece processing system having at least one processing apparatus for processing workpieces, a primary transport system, a secondary transport system and one or more interfaces between first transport system and second transport system. The primary and secondary transport systems each have one or more sections of substantially constant velocity and in queue sections communicating with the constant velocity sections.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: September 18, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Michael L. Bufano, Ulysses Gilchrist, William Fosnight, Christopher Hofmeister, Daniel Babbs, Robert C. May
  • Publication number: 20120141235
    Abstract: A substrate processing apparatus including a frame, a first arm coupled to the frame at a shoulder axis having a first upper arm, a first forearm and at least one substrate holder serially and rotatably coupled to each other, a second arm coupled to the frame at the shoulder axis where shoulder axes of rotation of the arms are substantially coincident, the second arm having a second upper arm, a second forearm and at least one substrate holder serially and rotatably coupled to each other, and a drive section connected to the frame and coupled to the arms, the drive section being configured to independently extend and rotate each arm where an axis of extension of the first arm is angled relative to an axis of extension of the second arm substantially at each angular position of at least one of the first arm or the second arm.
    Type: Application
    Filed: November 10, 2011
    Publication date: June 7, 2012
    Applicant: Brooks Automation, Inc.
    Inventors: Alexander G. Krupyshev, Robert T. Caveney, Martin R. Elliot, Christopher Hofmeister
  • Patent number: 8186927
    Abstract: A transfer chamber is partitioned into a second chamber in which a transfer robot moving through an opening portion which can be opened/closed by a door is arranged, and a minute first chamber which serves as a FIMS system and includes a door capable of retaining a lid of a pod. The second chamber maintains a state in which an inert gas constantly circulates owing to minute nitrogen while having a pressure higher than that inside the first chamber. The first chamber is normally sealed while an oxide gas is suppressed in advance. In addition, at a time of transferring wafers, a partial pressure of the oxide gas is lowered with use of a downflow which is caused by the inert gas. Further, the first chamber and the second chamber are communicated with each other after a level of the partial pressure is confirmed with use of an oxygen level meter.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: May 29, 2012
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Toshihiko Miyajima, Hiroshi Igarashi
  • Patent number: 8177048
    Abstract: A vacuum processing apparatus includes a vacuum chamber capable of keeping a first pressure lower than an atmospheric pressure, a driving source disposed in the vacuum chamber, an electric power supply mechanism including a primary side mechanism disposed outside the vacuum chamber for supplying electric power to the driving source and a secondary side mechanism disposed in the vacuum chamber for receiving the electric power from the primary side mechanism in a contactless relationship, and a vessel capable of accommodating airtightly the secondary side mechanism under a second pressure higher than the first pressure.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: May 15, 2012
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Yushi Sato, Toshio Miki, Yosuke Muraguchi, Katsumi Yasuda, Kazunari Kitaji, Yasushi Muragishi, Minoru Maeda
  • Patent number: 8171964
    Abstract: The invention provides a purge apparatus that purges the gas in the interior of a FOUP with a high purging efficiency and an apparatus for opening/closing the lid of a FOUP for use in the purge apparatus. The purge apparatus has a cabinet having an opening portion through with the lid of the FOUP can pass, a door that can close the opening portion and hold the lid, and a gas supply nozzle provide in the cabinet. In this apparatus, a seal member is provided in such a way as to surround an element that is provided on the surface of the door and adapted to actuate a latch mechanism of the lid. The seal member spatially separates the element that is adapted to actuate the latch mechanism from the external space in a state in which the door is holding the lid.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: May 8, 2012
    Assignee: TDK Corporation
    Inventor: Tsutomu Okabe
  • Publication number: 20120099949
    Abstract: An apparatus for supporting a plurality of carriers or substrates is described. The apparatus includes a vacuum chamber and a rotatable support for supporting the plurality of carriers or substrates, wherein the support is provided within the vacuum chamber and is configured for rotating the supported plurality of carriers or substrates around a rotation axis.
    Type: Application
    Filed: October 28, 2010
    Publication date: April 26, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Reiner GERTMANN, Michael KÖNIG
  • Publication number: 20120051872
    Abstract: The present disclosure provides a system and method for processing a semiconductor substrate wherein a substrate is received at a load lock interface. The substrate is transferred from the load lock interface to a process module using a first module configured for unprocessed substrates. A manufacturing process is performed on the substrate within the process module. Thereafter, the substrate is transferred from the process module to the load lock interface using a second module configured for processed substrates.
    Type: Application
    Filed: August 26, 2010
    Publication date: March 1, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPAY, LTD.
    Inventors: Shao-Yen Ku, Chi-Ming Yang, Chiang Ming-Tsao, Yu-Fen Tzeng, Chin-Hsiang Lin
  • Publication number: 20110318143
    Abstract: A vacuum processing apparatus includes a first lock chamber and a second lock chamber coupled to a back face side of the atmospheric transfer chamber in parallel, a first transfer chamber coupled to a rear side of the first lock chamber, a second transfer chamber coupled, on the rear side of the first transfer chamber, a third transfer chamber coupled to the rear side of the second lock chamber, a first and a second relay chamber disposed between the first transfer chamber/the second transfer chamber and the first transfer chamber/the third transfer chamber to transfer a wafer between these chambers, and a plurality of processing chambers coupled to either the first, the second or the third transfer chamber, in addition, the number of the processing chambers coupled to the second transfer chamber is greater than that of the processing chambers coupled to either the first or the third transfer chamber, and the wafer alone processed in the processing chamber coupled to either the first or the second transfer ch
    Type: Application
    Filed: August 11, 2010
    Publication date: December 29, 2011
    Inventors: Ryoichi ISOMURA, Susumu Tauchi, Hideaki Kondo
  • Publication number: 20110305543
    Abstract: A substrate processing apparatus includes a holder configured to hold a substrate and carry the substrate into a process chamber, a waiting station located outside the process chamber in which the holder waits prior to carrying the substrate into the process chamber, a circulation path configured to circulate a gas throughout the waiting station, and an exhaust path formed in the circulation path and configured to exhaust the gas from the waiting station.
    Type: Application
    Filed: June 9, 2011
    Publication date: December 15, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Seiyo NAKASHIMA, Yuichi MATSUDA, Takashi NOGAMI, Shinobu SUGIURA, Tomoyuki YAMADA
  • Publication number: 20110299962
    Abstract: A vacuum processing apparatus includes vacuum processing chambers for subjecting a sample to vacuum processing, a vacuum carriage, a switchable chamber, a cassette support for supporting a plurality of cassettes capable of housing samples, and a waiting cassette support for supporting a waiting cassette that differs from the cassettes supported on the cassette support and capable of having a number of samples. An atmospheric carriage enables carrying a sample among a given cassette of the plurality of cassettes or the waiting cassette. A controller effects control for carrying unprocessed and processed samples among the given cassette, the waiting cassette and the vacuum processing chamber, so that a mixture of both processed and unprocessed samples does not exist in the given cassette or the waiting cassette.
    Type: Application
    Filed: August 15, 2011
    Publication date: December 8, 2011
    Inventors: Takeshi OONO, Kenji Nakata, Shoji Okiguchi, Tooru Ueno, Hidehiro Oomae, Shigeharu Minami, Yoshitaka Kai
  • Patent number: 8029227
    Abstract: In a load port apparatus, a clearance is formed between an inner circumferential surface of an opening of the chamber and an outer circumferential surface of a door. The area of the clearance between the plate and the opening portion on a plane flush with an interior side of the chamber is larger than the area of the clearance between the plate and the opening portion on a plane flush with the exterior of the chamber. A fan located at an upper side of the chamber generates a first flow of air in the downward direction. The clearance generates a second flow of air from the interior of the chamber to the exterior of the chamber. The second flow of air is generated by the difference in the area of the interior cross section of the clearance and area of the exterior cross section of the clearance.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: October 4, 2011
    Assignee: TDK Corporation
    Inventors: Hitoshi Suzuki, Toshihiko Miyajima
  • Publication number: 20110217469
    Abstract: In accordance with some embodiments described herein, a method for transferring a substrate is provided. The method includes loading one or more substrates into a respective mobile chamber of one or more mobile chambers. The mobile chambers are movable on a first rail positioned adjacent to two or more process modules. Each mobile chamber is configured to maintain a specified gas condition. The respective mobile chamber is moved along the first rail. The respective mobile chamber is docked to a respective process module of the two or more process modules. At least one of the one or more substrates is conveyed from the respective mobile chamber to the respective process module.
    Type: Application
    Filed: December 20, 2010
    Publication date: September 8, 2011
    Inventors: Lawrence Chung-Lai Lei, Alfred Mak, Rex Liu, Kon Park, Samuel S. Pak, Tzy-Chung Terry Wu, Simon Zhu, Ronald L. Rose, Gene Shin, Xiaoming Wang
  • Publication number: 20110176893
    Abstract: A vacuum processing apparatus includes a transfer container for transferring a wafer in the internal space thereof reduced in pressure, a vacuum vessel coupled to the side wall of the vacuum vessel and including a processing chamber having a sample stage therein on which a wafer to be processed is mounted, a lid member opened or closed by rotation above the vacuum vessel, an inner chamber member arranged in the vacuum vessel and making up the inner wall of the processing chamber, and a jig coupled to the side wall of the vacuum vessel to lift and hold the inner chamber member by being coupled thereto. The jig includes a first joint portion having vertical and horizontal shafts, an extensible arm portion rotatable around each shaft of the first joint portion, and a second joint portion with the inner chamber member adapted to rotate around the horizontal axis thereof.
    Type: Application
    Filed: February 25, 2010
    Publication date: July 21, 2011
    Inventors: Tsutomu NAKAMURA, Hidenobu Tanimura, Yuya Mizobe
  • Patent number: 7927058
    Abstract: The invention is directed to a pod clamping unit for fixing a pod to a support table in a load port, the pod including a pod body capable of storing a wafer in the interior thereof and a lid, the load port having a pod opener that opens/closes the lid. The pod clamping unit includes a clamp portion that is provided on the support table and adapted to engage with a first engagement portion provided on a lower surface of the pod body to restrict upward movement of the pod relative to the support table, a restriction pin that is movable upwardly and downwardly relative to the support table and adapted to engage with a second engagement portion provided on the lower surface of the pod body to restrict movement of the pod in a disengaging direction that causes disengagement between the first engagement portion and the clamp portion, and a vertically driving portion that moves the restriction pin up to/down from the second engagement portion.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: April 19, 2011
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Jun Emoto, Hidetoshi Horibe
  • Patent number: 7914246
    Abstract: A system adapted to exchange wafer carriers between an overhead transport mechanism and a platform is provided. The system employs a wafer carrier having at least one handle extending therefrom, an overhead transfer mechanism, a transporter coupled to the overhead transfer mechanism and adapted to move therealong and having a wafer carrier coupling mechanism adapted to couple to the at least one wafer carrier handle, a platform positioned below the overhead transfer mechanism such that wafer carriers traveling along the overhead transfer mechanism travel over the platform, and an actuator coupled to the platform and adapted so as to elevate the platform to an elevation wherein the loading platform may contact the bottom of a wafer carrier coupled to the overhead transfer mechanism.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: March 29, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Robert Z. Bachrach
  • Publication number: 20110070055
    Abstract: In a load port apparatus, a door driving mechanism that supports a door through a communication opening portion leading to a mini-environment is housed in a housing chamber that is in communication with the mini-environment through the communication opening portion. Exhaust opening portions are provided in the upper portion of a wall of the housing chamber that is opposed to the communication opening portion and a bottom wall of the housing chamber. Thus, gas passages from the mini-environment to the housing chamber and then to the external space are formed. Thus, dust in the load port apparatus is removed.
    Type: Application
    Filed: September 13, 2010
    Publication date: March 24, 2011
    Applicant: TDK CORPORATION
    Inventors: Mutsuo SASAKI, Hitoshi Suzuki, Koichiro Oikawa
  • Patent number: 7887277
    Abstract: A combination of a FOUP (front opening unified pod) system and a reticle system utilized for the transport of wafers and a reticle system, the latter of which are used for transporting reticles from a first fabrication site to a further site at another location, and which provides for a unified system enabling the automated and trackable delivery of the reticles between these sites. Provided is a modified FOUP base structure, which is adapted to retain a reticle and to be able to employ existing equipment in a fabrication site which only necessitates a minimal modification of the equipment in order to render the latter universally adaptable to the combination of the systems.
    Type: Grant
    Filed: August 7, 2009
    Date of Patent: February 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Philip L. Campbell, David J. Pinckney, Edward Sherwood, Uldis A. Ziemins
  • Patent number: 7887278
    Abstract: An article is conveyed from a load port 26a for a processing device 16 to a load port 26b for a processing device 20. An overhead buffer 28 is provided for each load port 26. An overhead travelling carriage 14 can freely deliver the article to the load port 26 or to the overhead buffer 28. The article can be conveyed directly between processing devices for different processes via inter-bay routes 6, 7. Only a short time is required for conveyance. It is unnecessary to provide stockers between the intra-bay routes 6, 7 and the inter-bay route 8.
    Type: Grant
    Filed: August 9, 2005
    Date of Patent: February 15, 2011
    Assignee: Murata Kikai Kabushiki Kaisha
    Inventor: Kenji Hoshino
  • Publication number: 20110014016
    Abstract: A substrate processing apparatus has a frame and a load port connected to the frame and adapted to mate a substrate transport container to the frame. The apparatus has transportable storage that is adapted to be removably connected to the frame and fit beneath the load port. The storage may be an enclosure housing electrical, mechanical, or electromechanical devices of the substrate processing apparatus.
    Type: Application
    Filed: July 26, 2010
    Publication date: January 20, 2011
    Applicant: BROOKS AUTOMATION, INC.
    Inventors: Daniel A. Hall, Christopher Hofmeister, William Fosnight, Jeff G. Araujo, Steven Allen, Glenn Sindledecker
  • Patent number: 7857570
    Abstract: In one aspect, a substrate loading station for a processing tool includes plural load ports. Each load port is operatively coupled to the processing tool and has a mechanism for opening a substrate carrier. A carrier handler transports substrate carriers from a factory exchange location to the load ports without placing the carriers on any carrier support location other than the load ports. Numerous other aspects are provided.
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: December 28, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Martin R. Elliott, Michael R. Rice, Robert B. Lowrance, Jeffrey C. Hudgens, Eric A. Englhardt
  • Publication number: 20100316467
    Abstract: A substrate storage facility comprises a multilevel shelf, on which a plurality of cassettes with airtight covers accommodating a plurality of substrates can be placed; a substrate transport portion, moveably positioned on the front-face side of the multilevel shelf, which opens and closes the airtight covers of the cassettes with airtight covers placed on the multilevel shelf, and which transports the substrates between cassettes with airtight covers; and, a cassette transport portion, moveably positioned on the rear-face side of the multilevel shelf, which carries cassettes with airtight covers into and out of all the cassette placement positions of the multilevel shelf. By means of this substrate storage facility, interchange processing of substrates accommodated in cassettes, as well as carrying in and out of cassettes when appropriate, can be performed.
    Type: Application
    Filed: October 16, 2007
    Publication date: December 16, 2010
    Inventors: Mareto Ishibashi, Toshitaka Oono
  • Patent number: 7841820
    Abstract: The present invention is a wafer transfer system that transports individual wafers between chambers within an isolated environment. In one embodiment, a wafer is transported by a wafer shuttle that travel within a transport enclosure. The interior of the transport enclosure is isolated from the atmospheric conditions of the surrounding wafer fabrication facility. Thus, an individual wafer may be transported throughout the wafer fabrication facility without having to maintain a clean room environment for the entire facility. The wafer shuttle may be propelled by various technologies, such as, but not limited to, magnetic levitation or air bearings. The wafer shuttle may also transport more than one wafer simultaneously. The interior of the transport enclosure may also be under vacuum, gas-filled, or subject to filtered air.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: November 30, 2010
    Assignee: Crossing Automation, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7806642
    Abstract: In a receiver for component feed plates for housing, in multi-stage stacks, a plurality of component feed plates with a plurality of components placed thereon, identification mark portions are formed so as to be placed at end portions or their proximities of support guide portions in a plate feed direction so that each paired set of support guide portions out of individual support guide portions can be distinguished from the other paired sets of support guide portions and moreover visually discerned in the plate feed direction.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: October 5, 2010
    Assignee: Panasonic Corporation
    Inventors: Shoriki Narita, Kenichi Ishida, Shuichi Hirata, Satoshi Shida
  • Publication number: 20100241271
    Abstract: The wafer storing cabinet has a storing cabinet main body 10 which is provided therein with storage cassettes 11, accommodation shelves 12A and 12B, and a wafer transfer section 20, and has an in/out storing cabinet 17, inert gas is supplied into the storing cabinet main body 10, in the wafer transfer section 20, wafers taken out from the transfer cassettes 15 and 16 which are transferred into the storing cabinet main body 10 are accommodated in the storage cassette 11, wafer taken out from the storage cassette 11 are accommodated in the transfer cassettes 15 and 16, the storage cassette 11 has a door which can secure a hermetic function, and the door is always closed except when a wafer is transferred into the storage cassette 11 and when a wafer is transferred out from the storage cassette 11.
    Type: Application
    Filed: March 29, 2007
    Publication date: September 23, 2010
    Applicant: IHI CORPORATION
    Inventors: Takao Shimizu, Kunihisa Miyoshi, Toshitaka Oono, Mareto Ishibashi
  • Patent number: 7797855
    Abstract: A heating apparatus 2 comprises a housing 20; a flat heating chamber 4 which is provided in the housing 2 and adapted to heat a wafer W used as a substrate, with one side of the heating chamber 4 opening for carrying in and carrying out the wafer; and a heating plates 44, 45 provided in the heating chamber 4 such that the wafer W can be heated from both above and below. A cooling plate 3 is provided in the housing 20 located in the vicinity of the opening of the heating chamber 4, for cooling the wafer W after being heated by the heating plates 44, 45. Additionally, a carrying means is provided in the housing 20 for carrying the wafer W between an upper position of the cooling plate 3 and the interior of the heating chamber 4 such that a heat treatment for the wafer W can be performed with the wafer W being held in the heating chamber 4.
    Type: Grant
    Filed: August 18, 2006
    Date of Patent: September 21, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tetsuo Fukuoka, Masami Akimoto, Takahiro Kitano, Yoshio Kimura, Shinichi Hayashi, Hikaru Ito
  • Publication number: 20100226737
    Abstract: A substrate transfer apparatus that transfers a substrate with respect to a processing apparatus includes a substrate accommodation unit for accommodating a plurality of substrates to be loaded into the processing apparatus in a vertical direction in a multi-stage; a substrate accommodation unit for accommodating a plurality of substrates unloaded from the processing apparatus in a vertical direction in a multi-stage; a substrate holder for transferring the substrates from the substrate accommodation unit to the processing apparatus; a substrate holder for transferring the substrates from the processing apparatus to the substrate accommodation unit. The substrate accommodation unit has an elevating mechanism for moving at least one of the substrate and the substrate holder in a vertical direction relative to each other and the substrate accommodation unit has an elevating mechanism for moving at least one of the substrate and the substrate holder in a vertical direction relative to each other.
    Type: Application
    Filed: March 2, 2010
    Publication date: September 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiromitsu Sakaue, Hirofumi Yamaguchi
  • Publication number: 20100222919
    Abstract: Productivity is improved by ensuring reliability of palette holding and reliability of operations.
    Type: Application
    Filed: March 1, 2010
    Publication date: September 2, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Majima Kazuyuki, Goshokubo Gen