For Carrying Standarized Mechanical Interface (smif) Type Patents (Class 414/217.1)
  • Publication number: 20090016853
    Abstract: A system for processing semiconductor wafers, includes a plurality of front opening unified pods (FOUPs), loadlocks for receiving the plurality of wafers, a plurality of process chambers configured to perform processing steps and or measurement steps on the wafers, loadlock cooling stations for receiving the wafers from the processing chambers and a transport chamber interconnecting the loadlocks, cooling chambers and process chambers. A first multi-axis robot transfers wafers between the FOUPs, loadlocks and loadlock cooling stations, at an ambient pressure. A second multi-axis robot tranfers wafers between the loadlocks, process chambers and the loadlock cooling stations, and is adapted to operate in a transport chamber at a pressure that is different from the ambient pressure.
    Type: Application
    Filed: July 9, 2007
    Publication date: January 15, 2009
    Inventor: Woo Sik Yoo
  • Publication number: 20090003979
    Abstract: Techniques for handling substrates are disclosed. In one particular exemplary embodiment, the techniques may be realized as a substrate support. The substrate support may comprise a mounting portion. The substrate support may also comprise a wall extending from the mounting portion, wherein the wall may form a generally enclosed area and may have a contact surface at a distal end.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Dale K. Stone, Lyudmila Stone, Julian G. Blake, Suneethi Gudapati
  • Publication number: 20080317564
    Abstract: A wafer supporting device of a sputter apparatus includes a pedestal positioned in a sputtering chamber and used to load a wafer for sputtering, a deposition ring having a recess positioned on a peripheral portion of the pedestal, and a cover ring positioned on the pedestal and the deposition ring. The cover ring has a gate corresponding to the recess.
    Type: Application
    Filed: June 25, 2007
    Publication date: December 25, 2008
    Inventors: Chi-Piao Cheng, Li-Chun Liang, Yu-Jen Huang, Been Chen
  • Publication number: 20080310938
    Abstract: An IC chip package production apparatus of this invention comprises a conveyance portion which conveys a film substrate, and an IC chip mounting portion which mounts IC chips on the film substrate. The IC chip mounting portion comprises a mounting roller, on the outer face of which are formed a chip holding groove and a suction-clamping hole, which mounts IC chips on the film substrate by holding IC chips and rotating; an IC chip supply portion, having a supply path which supplies a plurality of IC chips successively; and a linear feeder which, with one supply end of the supply path opposing the chip holding groove and suction-clamping hole, feeds IC chips from the supply end to the chip-holding groove.
    Type: Application
    Filed: June 21, 2005
    Publication date: December 18, 2008
    Applicant: SHINKO ELECTRIC CO., LTD.
    Inventors: Taichi Inoue, Masashi Morita
  • Publication number: 20080302700
    Abstract: A front-opening wafer transport module has a container portion with transparent shell and a central support structure which includes a machine interface exposed at the bottom of the module and integral wafer support columns extending upwardly in the container portion for supporting wafers. Additionally, the side walls of the shell have recessed portions with engagement members that cooperate with engagement members on removable handles. The handles utilize detents to lock into place in the recesses on the side walls of the carrier. Attachment of the handles to the side walls is accomplished without breaks between the interior and exterior of the module and without separate fastners.
    Type: Application
    Filed: May 12, 2008
    Publication date: December 11, 2008
    Inventors: David L. Nyseth, Dennis J. Krampotich, Todd M. Ulschmid, Gregory W. Bores
  • Publication number: 20080304944
    Abstract: A semiconductor manufacturing line includes an inert environment selected from the group consisting essentially of an inert airtight wafer holder, an inert wafer transport channel, an inert production tool, an inert clean room, and combinations thereof.
    Type: Application
    Filed: June 29, 2007
    Publication date: December 11, 2008
    Inventors: Chien-Ming Sung, Simon Wang, Jia-Ren Chen, Henry Lo, Chen-Hua Yu, Jean Wang, Kewei Zuo
  • Publication number: 20080298935
    Abstract: Exemplary embodiments of the invention relate to a wafer cassette, to a wafer cassette pod and to a minienvironment chamber loadport arrangement with a minienvironment chamber and a wafer cassette pod. In one exemplary embodiment of the invention, a wafer cassette is provided which has a plurality of wafer supports for supporting wafers, with the wafer supports being designed such that the pitch between wafers supported by means of the wafer supports is variable.
    Type: Application
    Filed: June 4, 2007
    Publication date: December 4, 2008
    Inventor: Michael Lering
  • Publication number: 20080298936
    Abstract: A two piece shell is employed for intermediate and long term storage of substrates. The shell is formed of two halves that can be juxtaposed in vacuum and externally vented, with the internal vacuum retaining the halves in vacuum-sealed engagement. One of the halves also provides a vacuum-sealing perimeter for selectively sealing to a process chamber during loading and/or unloading of the shell with a substrate. A vacuum monitor or the like may be employed to monitor pressure during storage and provide alerts if the vacuum within the sealed shell is compromised.
    Type: Application
    Filed: May 30, 2008
    Publication date: December 4, 2008
    Inventor: Peter van der Meulen
  • Publication number: 20080292432
    Abstract: An airflow management system and/or method used in particle abatement in semiconductor manufacturing equipment. In particular, the apparatus disclosed is capable of creating and managing a carefully controlled particle free environment for the handling of semiconductor wafers or similar articles. The apparatus is particularly suited to be used as an interface between an equipment front end module (EFEM) and a vacuum loadlock chamber or other such article of process equipment. The apparatus also enables relative motion between enclosures while maintaining a particle free environment utilizing a moving air diffuser mounted to an interface panel.
    Type: Application
    Filed: May 22, 2007
    Publication date: November 27, 2008
    Inventors: James S. Castantini, Tent-Chao D. Tao, Erin M. Madden, Donald N. Polner
  • Publication number: 20080276685
    Abstract: The invention pertains to a transport pod interface including a sampling probe intended to be connected to a gas analyzer and an actuator that can couple with an access door on the transport pod in the coupling position, and that can move said door towards the base of the interface in an retracted position in which the volume of gas to be analyzed contained within the transport pod can be accessed by the sampling probe, characterized in that it includes at least one sealing joint configured so as to ensure that at least when the interface is in the retracted position, the space between the actuator and said base is sealed in such a way as to isolate the volume of gas to be analyzed.
    Type: Application
    Filed: May 2, 2008
    Publication date: November 13, 2008
    Applicant: Alcatel Lucent
    Inventor: Erwan GODOT
  • Patent number: 7445415
    Abstract: The present invention comprises a load port for providing access to an article that is stored in a container having a container door removably coupled to a container shell. The load port preferably loads/unloads a container directly from a container transport system. In one embodiment, the load port includes a plate having an opening, a container support plate, a drive assembly for moving the support plate vertically and a shroud to partially enclose the opening. The shroud, which may be affixed to the mounting plate, has an open top and bottom. The shroud contains a mechanism for retaining the container shell at a controllable height. During operation, a container is raised from the transport system into the shroud until the container shell is retained by the mechanism. After the container shell is uncoupled from the container door, the container support plate is lowered until the article is accessible through the opening. The container shell remains located at the controllable height.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: November 4, 2008
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Michael Krolak, Roger G. Hine
  • Publication number: 20080267743
    Abstract: The invention relates to an apparatus for imprinting and/or embossing substrates (7), in particular semiconductor substrates or wafers, having: a receiving unit (5) for receiving the substrate (7) in a working space (13), an adjusting device (2, 3, 4) for adjusting the substrate (7) in relation to an embossing and/or printing punch (10), whereby for a process that is as contamination-free as possible and for a manufacture of the apparatus that is as favourable as possible the receiving unit (5) is designed so as to separate the working space (13) from the environment.
    Type: Application
    Filed: April 8, 2008
    Publication date: October 30, 2008
    Inventor: Erich Thallner
  • Patent number: 7441999
    Abstract: An overhead buffer 24 is provided on a side of a running rail 4 for an overhead travelling carriage 8. The height level of an article on the overhead buffer 24 is slightly lower than that on the running overhead travelling carriage 8. This height is at least such that the overhead buffer 24 does not interfere with an operator. According to the present invention, the overhead buffer can be installed even if load ports are consecutively provided.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: October 28, 2008
    Assignee: Murata Kikai Kabushiki Kaisha
    Inventors: Takashi Nakao, Makoto Tanaka
  • Publication number: 20080260501
    Abstract: A shallow p-n junction diffusion layer having a high activation rate of implanted ions, low resistivity, and a controlled leakage current is formed through annealing. Annealing after impurities have been doped is carried out through light irradiation. Those impurities are activated by annealing at least twice through light irradiation after doping impurities to a semiconductor substrate 11. The light radiations are characterized by usage of a W halogen lamp RTA or a flash lamp FLA except for the final light irradiation using a flash lamp FLA. Impurity diffusion may be controlled to a minimum, and crystal defects, which have developed in an impurity doping process, may be sufficiently reduced when forming ion implanted layers in a source and a drain extension region of the MOSFET or ion implanted layers in a source and a drain region.
    Type: Application
    Filed: June 29, 2007
    Publication date: October 23, 2008
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Takaharu Itani, Takayuki Ito, Kyoichi Suguro
  • Publication number: 20080251414
    Abstract: A container having a box door and a box is disclosed in this invention, which has an internal area within the box for storage; when the box is joined with the box door, the internal area is sealed off from the external environment. A latching mechanism is disposed within the box door and comprises a metal actuator and a latch plate, and the metal actuator and the latch plate are connected. Movements of the metal actuator allow the latch plate to shift positionally, and thus the latch plate is moved from a first position to a second position. When the latch plate is in the first position, the box and the box door may be separated from each other, whereas when the latch plate is in the second position, the box and the box door are inseparable.
    Type: Application
    Filed: November 6, 2007
    Publication date: October 16, 2008
    Inventor: Pao-Yi Lu
  • Publication number: 20080247845
    Abstract: A processing apparatus configured to process a substrate under a vacuum environment includes a holding unit configured to hold the substrate, a dust collection part having a surface opposite to the substrate held by the holding unit, a vacuum chamber configured to accommodate the holding unit and to have an internal space that can be decompressed, a temperature controlling unit configured to control a temperature of the surface of the dust collection part opposite to the substrate to a temperature lower than a temperature of the substrate, and a driving unit configured to bring one of the holding unit and the dust collection part close to the other after the temperature controlling unit controls the temperature of the surface of the dust collection part opposite to the substrate.
    Type: Application
    Filed: March 28, 2008
    Publication date: October 9, 2008
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Shinya Mochizuki, Takashi Kamono
  • Publication number: 20080247846
    Abstract: There is provided a method for exchanging an atmosphere of a vacuum chamber of a processing apparatus configured to process a substrate under a vacuum environment. The method includes the steps of holding the substrate using a holding unit provided in the vacuum chamber, and exchanging the atmosphere of the vacuum chamber through exhaustion or air supply, wherein the exchanging step maintains a pressure of the vacuum chamber in a range between 10 Pa and 10000 Pa for a period between 10 seconds and 600 seconds while controlling a temperature of a dust collection unit provided in the vacuum chamber lower than a temperature of the substrate.
    Type: Application
    Filed: April 4, 2008
    Publication date: October 9, 2008
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Shinya Mochizuki
  • Publication number: 20080228311
    Abstract: A substrate processing apparatus, according to which inspection of various devices in the substrate processing apparatus can be carried out with improved reliability, while reducing the burden on a user. A processing chamber processes semiconductor wafers therein. A transfer chamber transfers the semiconductor wafers. A FOUP (front opening unified pod) houses a plurality of dummy wafers for inspection of the processing chamber or the transfer chamber. A CPU causes an HDD (hard disk drive) to store a housing state relating to the arrangement of the dummy wafers in the FOUP before replacement of dummy wafers in the FOUP and that after the replacement as dummy wafer setup information.
    Type: Application
    Filed: May 23, 2008
    Publication date: September 18, 2008
    Applicant: Tokyo Electron Limited
    Inventors: Noriaki SHIMIZU, Masahiro Numakura
  • Publication number: 20080206023
    Abstract: A substrate processing apparatus is described. The apparatus includes a substrate load lock chamber. A plurality of storage bays may be used to store semiconductor substrates. A first set of one or more multi-axis robot arms may transfer one or more semiconductor substrates between the substrate load lock chamber and the plurality of storage bays. A substrate transfer chamber is vacuum coupled to the substrate load lock chamber. A plurality of process chamber modules are vacuum coupled to the substrate transfer chamber. A second set of one or more multi-axis robot arms may transfer semiconductor substrates between the storage bays and the plurality of process chamber modules under sub-atmospheric conditions.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Inventors: John M. Smith, James Carter Hall, Jeffrey G. Ellison
  • Publication number: 20080206022
    Abstract: A substrate processing apparatus is described. The apparatus includes a substrate load lock chamber. A substrate transfer chamber is vacuum coupled to the substrate load lock chamber. A plurality of process chamber modules are vacuum coupled to the substrate transfer chamber. One or more multi-axis robot arms in the substrate transfer chamber may transfer semiconductor substrates between the load lock chamber and the plurality of process chamber modules under sub-atmospheric conditions.
    Type: Application
    Filed: February 27, 2007
    Publication date: August 28, 2008
    Inventors: John M. Smith, James Carter Hall, Jeffrey G. Ellison
  • Publication number: 20080203302
    Abstract: There is provided a mini environment type transfer unit which can efficiently transfer a sample to a critical dimension scanning electron microscope (CD-SEM) even in the case of use of a SMIF pod which can store only one photomask. In addition to a load port, a stocker which can store a plurality of photomasks is provided in the mini environment type transfer unit. A mask storage slot in which a plurality of storage units are stacked is provided in the stocker, and one photomask is stored in each storage unit. A sensor is provided in each storage unit to determine whether or not the photomask is normally stored. Additionally, a sensor is provided in each storage unit to detect whether or not the photomask exists.
    Type: Application
    Filed: February 27, 2008
    Publication date: August 28, 2008
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Takashi Gunji, Hidetoshi Sato, Katsuya Kawakami, Hideki Yatabe
  • Patent number: 7410340
    Abstract: The present invention comprises a container transport and loading system. The system generally comprises a load port for presenting articles to a tool and a container transport system. In one embodiment, the load port includes a vertically movable FOUP advance plate assembly that is adapted to load and unload a FOUP from a conveyor that passes by the load port and move the FOUP horizontally. In another embodiment, the load port includes a vertically movable support structure that is adapted to load and unload a container from a shuttle that passes by the load port. The various embodiments of the load port and container transport system are improvements over conventional container transport systems. The present invention also includes a shuttle for simultaneously transporting multiple containers that a load port may load or unload a container from.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: August 12, 2008
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Michael Krolak, Roger G. Hine
  • Publication number: 20080187414
    Abstract: In one aspect, a substrate loading station for a processing tool includes plural load ports. Each load port is operatively coupled to the processing tool and has a mechanism for opening a substrate carrier. A carrier handler transports substrate carriers from a factory exchange location to the load ports without placing the carriers on any carrier support location other than the load ports. Numerous other aspects are provided.
    Type: Application
    Filed: April 9, 2008
    Publication date: August 7, 2008
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Martin R. Elliott, Michael R. Rice, Robert B. Lowrance, Jeffrey C. Hudgens, Eric A. Englhardt
  • Publication number: 20080181750
    Abstract: A gate valve cleaning method that can clean a gate valve that brings an atmospheric transfer chamber and an internal pressure variable transfer chamber that transfer a substrate into communication with each other or shuts them off from each other without bringing about a decrease in the throughput of a substrate processing system. Before the gate valve brings the atmospheric transfer chamber and the internal pressure variable transfer chamber into communication with each other, the pressure in the internal pressure variable transfer chamber is increased so that the pressure in the internal pressure variable transfer chamber can become higher than the pressure in the atmospheric transfer chamber.
    Type: Application
    Filed: January 4, 2008
    Publication date: July 31, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi Moriya, Hiroyuki Nakayama, Keisuke Kondoh, Hiroki Oka
  • Publication number: 20080175694
    Abstract: The present invention is related to a method for transferring substrates. The method comprise simultaneously transferring two substrates, by means of a transfer unit, between first support plates disposed to be vertically spaced apart from each other and second support plates arranged abreast in a lateral direction. The transfer unit comprises a top blade and a bottom blade converted to a folded state where they are vertically disposed to face each other and an unfolded state where they rotate at a preset angle in opposite directions. The transfer unit place/take a substrate on/out of the first support plates under the folded state and place/take a substrate on/out of the second support plates under the unfolded state.
    Type: Application
    Filed: August 21, 2007
    Publication date: July 24, 2008
    Inventors: Dong-Seok Park, Sang-Ho Seol
  • Publication number: 20080170930
    Abstract: A device for picking up, transporting and sorting electronic components in particular integrated circuits includes at least one loading device, one transport device, and one unloading device. The transport device has at least one transport wheel which is rotatable around a rotation axis and which has a peripheral face. The transport device also has at least one pick-up module which is arranged at the peripheral face and is suitable for accommodating components. In addition, the transport device is provided with a pressure source which is connected to the pick-up module. The pick-up module has at least one pick-up space for one individual component which extends along the peripheral face and can be moved between an initial position and an end position. The pressure source enables a prescribed pressure to be exerted at the pick-up space by means of which the component can be retained at the pick-up space or can be ejected from the pick-up space.
    Type: Application
    Filed: January 10, 2008
    Publication date: July 17, 2008
    Inventors: Hubertus Heigl, Helmuth Heigl
  • Patent number: 7398801
    Abstract: An apparatus and method for manufacturing semiconductor devices are disclosed. In accordance with the invention, a wafer transfer device for transferring wafers from wafer storage containers to wafer processing equipment includes a flow chamber designed to reduce the amount of contaminants that can enter the wafer container. The wafer transfer apparatus provide two gas inlets for allowing two gases to flow through the flow chamber of the transfer apparatus. This results in a reduced amount of contaminants able to enter the wafer container, which in turn results in manufacture of devices with more reliable performance characteristics as well as high manufacturing yield.
    Type: Grant
    Filed: December 2, 2005
    Date of Patent: July 15, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kun-Hyung Lee, Soo-Woong Lee, Hyun-Ho Cho, Hee-Sun Chae, Jae-Hyung Jung, Sun-Yong Lee
  • Publication number: 20080159833
    Abstract: Provided are a printed circuit board (PCB) transferring apparatus for a chip mounter, and a PCB transferring method using the PCB transferring apparatus. The PCB transferring apparatus includes: a base; first and second work lanes configured on the base to be parallel with each other for transferring and supporting PCBs; and a transferring unit configured in between the first and second work lanes for transferring wider PCBs.
    Type: Application
    Filed: June 21, 2007
    Publication date: July 3, 2008
    Applicant: Samsung Techwin Co., Ltd.
    Inventors: Je-pil Lee, Seong-ku Kim
  • Patent number: 7393172
    Abstract: In a wafer transfer system wherein a wafer transfer robot linearly reciprocates by a linear motor, dust is prevented from adhering to a wafer. A fixed base 9, on which the secondary side 11 of a linear motor M for linearly reciprocating a wafer transfer robot R is mounted, is mounted on the system body 1 of a wafer transfer system A in lateral directions and in vertical directions, so that dust dropping in accordance with the flow of clean air K from a clean air supply system 4 is directly sucked into an exhaust fan 5, which is provided on the bottom portion 1c of the system body 1, to be exhausted without being deposited on the top face of the fixed base 9 and the secondary side 11.
    Type: Grant
    Filed: July 26, 2000
    Date of Patent: July 1, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Saeki, Masaki Narushima, Tetsu Osawa, Yasushi Taniyama, Shuuji Hagiwara
  • Publication number: 20080138178
    Abstract: An ion implantation apparatus, system, and method are provided for transferring a plurality of workpieces between vacuum and atmospheric pressures, wherein an alignment mechanism is operable to align a plurality of workpieces for generally simultaneous transportation to a dual-workpiece load lock chamber. The alignment mechanism comprises a characterization device, an elevator, and two vertically-aligned workpiece supports for supporting two workpieces. First and second atmospheric robots are configured to generally simultaneously transfer two workpieces at a time between load lock modules, the alignment mechanism, and a FOUP. Third and fourth vacuum robots are configured to transfer one workpiece at a time between the load lock modules and a process module.
    Type: Application
    Filed: December 6, 2006
    Publication date: June 12, 2008
    Inventors: Joseph Ferrara, Robert J. Mitchell
  • Publication number: 20080138179
    Abstract: A fab can be constructed as a round or rectangular annular tube with a primary cleanspace located in-between its inner and outer tubes. The fab can be encircled with levels upon which tools can be densely packed while preserving unidirectional air flow. If only tool ports are inside, and robotics are used, primary cleanspace size can be minimized. Highly simplified robotics can be used. Tools can be removed and repaired centrally. A secondary cleanspace can be added for tool bodies. Multilevel construction enhances use of prefabricated units for fab build or maintenance. Curves or folds, applied to a conventional planar cleanroom, can construct a wide range of fab geometries, including a tubular non-annular fab. A fab can also be constructed according to a curved or non-curved sectional cut of an annular tube. A novel fab, of a non-curved section, can include a nonsegmented cleanspace or have its tools vertically stacked.
    Type: Application
    Filed: October 31, 2007
    Publication date: June 12, 2008
    Inventor: Frederick A. Flitsch
  • Publication number: 20080124200
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Application
    Filed: October 18, 2007
    Publication date: May 29, 2008
    Inventor: In Taek LEE
  • Publication number: 20080124199
    Abstract: An apparatus for attaching substrates is provided with a buffering member between an upper chamber and a lower chamber. The buffering member reduces a load applied to a lifting device during vacuum exhaustion of a sealing space between the chambers. Therefore, it is possible to reduce a force applied to a lifting screw and a frame supporting a chamber, thereby extending the lifetime of the substrate attaching apparatus and component replacement. Also, it is possible to increase a lower chamber supporting force of a lifting part by coupling the lifting part to an external frame with a fixing device. As the result, the lower chamber is stably fixed and thus it is possible to reduce failures in a substrate attaching process.
    Type: Application
    Filed: October 3, 2007
    Publication date: May 29, 2008
    Inventors: Jae Seok Hwang, Dong Gun Kim
  • Publication number: 20080124198
    Abstract: An apparatus for attaching two substrates to one another includes a lower chamber that holds a first substrate and an upper chamber that holds a second substrate. The upper and lower chambers are brought together to provide a space in which an attaching process is performed. Chamber transportation mechanisms are located at corners of the lower chamber and serve to move the lower chamber up toward the upper chamber so that it can be coupled with the upper chamber. Guide parts are also formed on side surfaces of the lower chamber to guide movement, and to reduce deformation of the chamber when pressure differentials act to deform the sides of the chamber.
    Type: Application
    Filed: October 3, 2007
    Publication date: May 29, 2008
    Inventors: Dong Gun KIM, Jae Seok Hwang
  • Publication number: 20080121560
    Abstract: A substrate container includes an enclosure and an access structure formed in the enclosure and providing fluid access through the enclosure to an interior of the substrate container. The access structure includes an opening and an inner surface. A grommet is situated against the inner surface of the access structure.
    Type: Application
    Filed: February 5, 2008
    Publication date: May 29, 2008
    Applicant: ENTEGRIS, INC.
    Inventors: Anthony Mathius Tieben, John Lystad, David L. Halbmaier
  • Publication number: 20080118333
    Abstract: An embodiment of the present invention is a technique to automate transfer of parts for high throughput. A boat transfer unit (BTU) arm carrying a boat containing a plurality of parts is rotated from an initial position to a first position that is below a process chamber. The BTU arm engages a boat support that supports the boat. The BTU arm is moved upward to a second position such that the boat partially enters the process chamber at a distance D with respect to an entrance opening of the process chamber. An elevator arm carrying a pedestal is engaged to lower side of the boat support. The BTU arm is moved away from the second position. The elevator arm is moved upward to fully insert the boat inside the process chamber.
    Type: Application
    Filed: July 10, 2007
    Publication date: May 22, 2008
    Inventors: Arsalan Alan Emami, Mitch Agamohamadi, Saeed Sedehi
  • Publication number: 20080112780
    Abstract: The invention provides a vacuum processing apparatus comprising: a plurality of vacuum vessels, each having a processing chamber capable of processing a subject substrate sample placed therein under reduced pressure; a cassette stage for mounting a cassette capable of containing a plurality of the samples; at least one transfer apparatus for transferring the sample from the cassette to the processing chamber in one of the vacuum vessels along a predetermined path and returning the sample processed in the processing chamber to the cassette; and an aligner placed on the path between the cassette stage and the plurality of vacuum vessels for aligning the sample to a predetermined position. The aligner aligns the sample to different positions depending on processings applied to the sample.
    Type: Application
    Filed: March 7, 2007
    Publication date: May 15, 2008
    Inventors: KATSUJI MATANO, Ryoji Hamasaki, Masamichi Sakaguchi
  • Patent number: 7370764
    Abstract: A front-opening wafer transport module has a container portion with transparent shell and a central support structure which includes a machine interface exposed at the bottom of the module and integral wafer support columns extending upwardly in the container portion for supporting wafers. Additionally, the side walls of the shell have recessed portions with engagement members that cooperate with engagement members on removable handles. The handles utilize detents to lock into place in the recesses on the side walls of the carrier. Attachment of the handles to the side walls is accomplished without breaks between the interior and exterior of the module and without separate fastners.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: May 13, 2008
    Assignee: Entegris, Inc.
    Inventors: David L. Nyseth, Dennis J. Krampotich, Todd M. Ulschmid, Gregory W. Bores
  • Publication number: 20080107507
    Abstract: A semiconductor workpiece processing system having at least one processing apparatus for processing workpieces, a primary transport system, a secondary transport system and one or more interfaces between first transport system and second transport system. The primary and secondary transport systems each have one or more sections of substantially constant velocity and in queue sections communicating with the constant velocity sections.
    Type: Application
    Filed: April 18, 2007
    Publication date: May 8, 2008
    Inventors: Michael L. Bufano, Ulysses Gilchrist, William Fosnight, Chrstopher Hofmeister, Daniel Babbs, Robert C. May
  • Publication number: 20080107508
    Abstract: A wafer handling system includes providing a loadlock tray assembly having a plurality of vertically-stacked loadlock trays; and mounting nipples around each of the plurality of vertically-stacked loadlock trays for supporting a plurality of wafers, each of the nipples having a flat top.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 8, 2008
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventor: Soon Chye Chan
  • Patent number: 7350544
    Abstract: A SMIF type mini-environment (1) can be connected onto a purge station (2). The purge station comprises a leaktight purge compartment (2b) whose top face includes a closable transfer passage (2c) facing the bottom face (1b) of the mini-environment pod (1). An elevator (4) is suitable for vertically displacing the bottom wall (1b) of the mini-environment pod (1) when coupled thereto, simultaneously moving the stack (3) of substrate wafers carried by the bottom wall (1c) so as to introduce them together into the leaktight purge compartment (2b). The stack (3) of substrate wafers is then purged inside a leaktight purge compartment (2b) of the purge station (2), while simultaneously purging the mini-environment pod (1). This provides purging that is much more effective and much faster, thus encouraging the use of SMIF mini-environment pods in microelectronic processes.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: April 1, 2008
    Assignee: Alcatel
    Inventors: Roland Bernard, Hisanori Kambara, Amaud Favre
  • Publication number: 20080069669
    Abstract: A method adjusts a pressure in a substrate processing device. The substrate processing device has a processing chamber for executing a predetermined processing for a substrate to be processed mounted on a mounting table; a pressure adjustment unit for the processing chamber which adjusts a pressure within the processing chamber; a transfer chamber connected to the processing chamber via a gate valve; and a pressure adjustment unit for the transfer chamber which adjusts a pressure in the transfer chamber and adjusts a pressure within the processing chamber while the gate valve is opened. The method is to adjust a pressure within the processing chamber to a predetermined pressure by using both the pressure adjustment unit for the processing chamber and the pressure adjustment unit for the transfer chamber.
    Type: Application
    Filed: September 18, 2007
    Publication date: March 20, 2008
    Applicant: TOKYO ELECTON LIMITED
    Inventors: Keisuke Kondoh, Hiroshi Koizumi
  • Publication number: 20080056857
    Abstract: To correct any positional misalignment of a substrate manifesting along the horizontal direction by utilizing a substrate transfer device alone without engaging a transfer arm in operation. A substrate transfer device comprises a plurality of support pins disposed at positions set apart from one another around a support shaft of a stage, which support a substrate, e.g., a wafer W, on the bottom surface thereof, a base at which the support pins are mounted, a vertical drive means (Z-direction drive means) for raising/lowering the substrate by driving the support pins up/down via the base and a horizontal drive means (X-direction drive means, Y-direction drive means) for adjusting the position of the substrate along the horizontal direction (X and Y directions) by horizontally driving the support pins via the base.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 6, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu Hiroki
  • Publication number: 20080041760
    Abstract: A semiconductor reticle transportation container includes a box and a base, the box having an opening and the base including a reticle support. The reticle is placed on the support and the box is placed on the base so that the reticle passes through the opening while being lifted off of the support by plungers contacting the lower edge of the reticle. After the box is closed, the reticle is compliantly constrained horizontally and vertically and is held away from the support.
    Type: Application
    Filed: August 17, 2007
    Publication date: February 21, 2008
    Applicant: Microtome Precision, Inc.
    Inventors: Joseph A. Durben, Gavin C. Rider, Robert K. Lindsley
  • Publication number: 20080044257
    Abstract: Techniques for temperature-controlled ion implantation are disclosed. In one particular exemplary embodiment, the techniques may be realized as an apparatus for temperature-controlled ion implantation. The apparatus may comprise a platen to hold a wafer in a single-wafer process chamber during ion implantation, the platen including: a wafer clamping mechanism to secure the wafer onto the platen and to provide a predetermined thermal contact between the wafer and the platen, and one or more heating elements to pre-heat and maintain the platen in a predetermined temperature range above room temperature. The apparatus may also comprise a post-cooling station to cool down the wafer after ion implantation. The apparatus may further comprise a wafer handling assembly to load the wafer onto the pre-heated platen and to remove the wafer from the platen to the post-cooling station.
    Type: Application
    Filed: June 28, 2007
    Publication date: February 21, 2008
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Jonathan Gerald ENGLAND, Richard Stephen Muka, Edwin A. Arevalo, Ziwei Fang, Vikram Singh
  • Publication number: 20080038097
    Abstract: Provided is an apparatus for clamping a stack of trays that allows automation and prevents distribution of particles or foreign materials during a semiconductor fabrication process. In one embodiment, the apparatus includes a frame body surrounding the stack of trays, a plurality of belt drums, and a hook. Each belt drum is attached to one side of the frame body has a belt and spiral spring. The belt is structured to securely surround the stack of trays using the elastic tension of the spiral spring member mounted in the belt drum. The hook is structured to be rotatably connected to the frame body and slid under a bottom surface of the stack of trays to secure the stack of trays.
    Type: Application
    Filed: July 12, 2007
    Publication date: February 14, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ho-Seong KIM, Eduard KURGI, Jung-Hyeon KIM, Hee-Jin KIM, No-Shin PARK, Jae-Nam LEE
  • Patent number: 7329079
    Abstract: A semiconductor wafer processing machine comprising a cassette-placing mechanism having a cassette-placing table for placing a cassette storing a semiconductor wafer, a workpiece take-in/take-out mechanism for taking out the semiconductor wafer stored in the cassette placed on the cassette-placing table and taking the semiconductor wafer into the cassette, a workpiece conveying mechanism for conveying the semiconductor wafer taken out by the workpiece take-in/take-out mechanism, a chuck table mechanism having a chuck table for holding the semiconductor wafer conveyed by the workpiece conveying mechanism, and a processing mechanism for processing the semiconductor wafer held on the chuck table, wherein the cassette-placing mechanism comprises an aligning mechanism for aligning the crystal orientation of the semiconductor wafer, which is situated below the cassette-placing table.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: February 12, 2008
    Assignee: Disco Corporation
    Inventors: Satoshi Ohkawara, Takaaki Inoue
  • Patent number: 7328727
    Abstract: A substrate container includes an enclosure and an access structure formed in the enclosure and providing fluid access through the enclosure to an interior of the substrate container. The access structure includes an opening and an inner surface. A grommet is situated against the inner surface of the access structure.
    Type: Grant
    Filed: April 17, 2005
    Date of Patent: February 12, 2008
    Assignee: Entegris, Inc.
    Inventors: Anthony Mathius Tieben, John Lystad, David L. Halbmaier
  • Patent number: 7328836
    Abstract: A smart-tag housing and method for securing a dedicated data card affixed to a SMIF-pod. A molded housing package for holding a data card for communication with a two-way receiver/transmitter mounted on a workstation, the smart-tag is a small battery operated microcomputer with an LCD for a two-way electro-magnetic communications. The smart-tag housing includes a battery compartment, a battery compartment cover. A retaining plate is affixed to a side of the pod using double-sided adhesive tape. The smart-tag is demountably secured to the retaining plate with a slidable self locking plate. The self locking plate is unlocked with a key that is provided only to authorized personnel.
    Type: Grant
    Filed: February 3, 2004
    Date of Patent: February 12, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsung-Chih Hsueh, Ying-Cheng Chen
  • Publication number: 20080025823
    Abstract: A load lock chamber includes a chamber. A vacuum pump is connected to the bottom of the chamber through a pipe. A cooling pipe is buried in the upper part of the chamber. One and the other ends of the cooling pipe are connected with a refrigerant circulator. When the pressure in the chamber is reduced, the chamber continues to be cooled during the period between when the pressure in the chamber becomes lower than a threshold and immediately before the inside of the chamber is released to atmospheric pressure.
    Type: Application
    Filed: July 26, 2007
    Publication date: January 31, 2008
    Inventor: Masahiko Harumoto