For Carrying Standarized Mechanical Interface (smif) Type Patents (Class 414/217.1)
  • Patent number: 6716651
    Abstract: A wafer/loadport assembly that has a built-in, self-identification system and a method for operating the assembly are described. The wafer cassette is equipped with recessed holes provided with electrically conductive plates for contacting locating pins on a loadport wherein the locating pins are provided with electrically conductive tips for allowing a current to flow through the plate. By different combinations of the electrically conductive tips and the electrically conductive plates provided in the recess holes, the type of wafer cassette can be determined and identified by the process controller.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: April 6, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Martin Weng, Yu-Chih Huang, Jung Huang Peng, Robin Lien
  • Patent number: 6701972
    Abstract: A system is provided that includes a load lock apparatus having an interior configured to receive an object. At least one inlet valve may be flow coupled to the interior of the load lock apparatus, and at least one outlet valve may also be flow coupled to the interior of the load lock apparatus. A controller may be configured to selectively control opening and closing of the at least one inlet valve. The load lock apparatus may include an object receiving mechanism that is movable within the interior of the load lock apparatus to throttle the evacuation of the interior. Several methods of using the system and load lock apparatuses are also disclosed.
    Type: Grant
    Filed: January 11, 2002
    Date of Patent: March 9, 2004
    Assignee: The BOC Group, Inc.
    Inventors: Colin John Dickinson, Daimhin Paul Murphy
  • Patent number: 6698992
    Abstract: An elastically expandable positioning device for positioning a cover of a unified pod is disclosed, which has a central fastener having one end protruded on the interface plate and an axial input hole opened at another end, and at least one radial distributing hole equally opened at its circular surface; a ring-shaped inflatable body made from an expandable elastic material; a supporting ring encircled around the central bolt and positioned above the distributing hole, for supporting the inflatable body, the supporting ring having at least one radial inflating hole equally positioned corresponding to the radial distributing hole of the central bolt; and an input fluid source connected to the axial input hole of the central bolt, for providing an input fluid.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: March 2, 2004
    Assignee: Fortrend Taiwan Scientific Corp.
    Inventors: Kung Chris Wu, Torben J. Ulander, Chawshiang Wu, John Rush
  • Patent number: 6690993
    Abstract: A reticle storage system includes a reticle rack having a series of lateral slots, each for storing a reticle. Access to the reticles is provided on a lateral side of the rack. The enclosure has a series of doors for providing access to the reticles in the slots. An air circulation system flows filtered air past the reticles in the rack to prevent contaminants from accumulating on the reticles. The air circulation system is capable of providing positive air pressure within the enclosure with one door open, thereby preventing contaminants from entering the enclosure through the open door.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: February 10, 2004
    Assignee: R. Foulke Development Company, LLC
    Inventors: Richard F. Foulke, Richard F. Foulke, Jr., Cord W. Ohlenbusch, Takman Lui
  • Patent number: 6680775
    Abstract: The mask guiding device of the present invention has a mask guiding device that guides a substrate received from the outside. The mask guiding device is provided with a plurality of receiving portions that receives said mask from the outside.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: January 20, 2004
    Assignee: Nikon Corporation
    Inventor: Shinichi Hirakawa
  • Patent number: 6679672
    Abstract: A transfer port facilitates transfer of a material between clean room modules, and/or between a clean room module and a clean box transporter that can travel through the contaminated ambient environment. A transfer port permits transfer of clean material between Class 1 clean rooms without degrading their cleanliness levels. The clean box transporter cars each comprise a small self-propelled clean room with laminar air flow and a HEPA filter. A door on the clean box mates against a similar door on one wall of the clean room module. A seal between a transporter car door and its opening, and a seal between a clean room door and its opening, a pair of seals disposed inside and outside a split line together define a limited contaminated volume. This volume is evacuated and/or flushed with clean gas to remove as much particulate matter as possible.
    Type: Grant
    Filed: March 10, 2003
    Date of Patent: January 20, 2004
    Assignee: Syracuse University
    Inventor: John F. Barrows
  • Publication number: 20040005209
    Abstract: A pod for transporting reticles is made with a reticle support that has a &pgr;-shape and is provided with pins, whose arrangement matches the location of chrome-free areas on a reticle base. Due to that, the pins, when supporting the reticle, come into contact with the reticle in chrome-free areas thereof. Thus, scratching the metallic areas and releasing metallic particles is prevented from occurring.
    Type: Application
    Filed: July 5, 2002
    Publication date: January 8, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Yu Su, Li-Kong Tern, Dong-Hsu Cheng
  • Publication number: 20030235486
    Abstract: A highly efficient Automated Material Handling System (AMHS) that allows an overhead hoist transport vehicle to load and unload Work-In-Process (WIP) parts directly to/from one or more WIP storage units included in the system. The AMHS includes an overhead hoist transport subsystem and at least one vertical carousel stocker having a plurality of storage bins. The overhead hoist transport subsystem includes an overhead hoist transport vehicle traveling along a suspended track defining at least one predetermined route. The predetermined route passes over the vertical carousel stocker, which allows the overhead transport vehicle to access one or more WIP parts directly from one of the carousel storage bins. The selected carousel storage bin is positioned at the top of the vertical carousel stocker underneath the suspended track. Next, the overhead hoist transport vehicle is moved along the suspended track to a position above the selected carousel storage bin.
    Type: Application
    Filed: March 20, 2003
    Publication date: December 25, 2003
    Inventors: Brian J. Doherty, Thomas R. Mariano, Robert P. Sullivan
  • Publication number: 20030215311
    Abstract: A mobile adjustment device for a standard mechanical interface (SMIF) arm is disclosed. The mobile adjustment device allows precise positioning of the SMIF arm relative to semiconductor processing equipment in three dimensions. The mobile adjustment device can include at least a number of wheeled legs, a bolt rod, and a sliding seat. The wheeled legs and the sliding seat allow for positioning the SMIF arm to two horizontal dimensions, whereas the bolt rod allows for positioning the SMIF arm in a vertical dimension, for a total of three dimensions.
    Type: Application
    Filed: May 17, 2002
    Publication date: November 20, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Huei Fan, Hon-Yi Ching, Chih-Chieh Wang, Chen-Chun Lee
  • Publication number: 20030210971
    Abstract: A latch sensor for a pod transport gripper for transferring semiconductor wafers is disclosed. The transport gripper has a left bar and a right bar, as well as a cross bar connecting the left and the right bars. The gripper also has a left clamp and a right clamp disposed on interior sides of the left bar and the right bar, respectively, to clamp a pod, such as a front-opening unified pod (FOUP), for transport. A number of latches are disposed on the cross bar, and correspond to a number of latch holes of the pod. The gripper has at least one latch sensor disposed on either the left bar, the right bar, or both, to determine whether the latches have properly engaged the latch holes of the pod.
    Type: Application
    Filed: May 9, 2002
    Publication date: November 13, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yan-Ping Lee, Kuo-Hsing Teng, Chi-Chung Chang, Fu-Shun Lo
  • Patent number: 6641349
    Abstract: A clean box is composed of a box body having an opening in one surface thereof and a lid member for closing the opening. An annular groove is formed so as to surround the opening on one of the box body or the lid member for defining a suction space sealed between the lid member and the box body under the condition that the lid member is mounted on the box body. Furthermore, intake/exhaust ports are provided for vacuum exhaust/release from the outside.
    Type: Grant
    Filed: December 29, 1999
    Date of Patent: November 4, 2003
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Tsutomu Okabe
  • Publication number: 20030202866
    Abstract: A wafer/loadport assembly that has a built-in, self-identification system and a method for operating the assembly are described. The wafer cassette is equipped with recessed holes provided with electrically conductive plates for contacting locating pins on a loadport wherein the locating pins are provided with electrically conductive tips for allowing a current to flow through the plate. By different combinations of the electrically conductive tips and the electrically conductive plates provided in the recess holes, the type of wafer cassette can be determined and identified by the process controller.
    Type: Application
    Filed: April 25, 2002
    Publication date: October 30, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Martin Weng, Yu-Chih Huang, Jung Huang Peng, Robin Lien
  • Publication number: 20030202867
    Abstract: A pod transfer system having a retractable mast and a rotatable and vertically movable hoist is disclosed. A first extendable robot is situated under a first overhead transfer (OHT) unit, and a second extendable robot is situated under the second OHT unit. Each extendable robot has a fully retracted position for loading a pod from its respective OHT unit, as well as a fully extended position. The retractable mast is situated between a first conveyer and a second conveyer that are themselves situated between the OHT units. The retractable mast has a default fully extended position and a tool move-in fully retracted position. The hoist is situated on the retractable mast. The hoist is able to load the pod from either robot when the robot is in its fully extended position, and is able to load the pod to one of the conveyors.
    Type: Application
    Filed: April 26, 2002
    Publication date: October 30, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Chang Chang, Chien-Jung Huang
  • Publication number: 20030198542
    Abstract: A cassette pod stage that is equipped with locked guide pins is described. The cassette pod stage of the present invention is provided with at least one aperture therethrough for engaging at least one guide pin. The guide pin is provided with a top portion, a bottom portion and a skirt portion in-between the top portion and the bottom portion for use as a stop during installation of the guide pin through an aperture in the cassette pod stage. The bottom portion of the guide pin is provided with a threaded portion for engaging a locking nut after the guide pin is installed with the threaded portion extending beyond the bottom surface of the cassette pod stage for such engagement.
    Type: Application
    Filed: April 22, 2002
    Publication date: October 23, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Pen Yen, Jenq-Yann Tsay, Ta-Chin Lee, Jeng-Chiang Chuang, Yung-Mao Hsu
  • Patent number: 6612797
    Abstract: A SMIF interface is disclosed for buffering one or more cassettes within a minienvironment affixed to a process tool. The interface includes a load port formed of a port door and a port plate circumjacent thereabout, and a minienvironment mounted to port plate. The SMIF interface and/or process tool includes at least one shelf for storing a cassette after the load port separates the cassette from its transport pod. In operation, while a first cassette is located within the process tool, a second pod is seated on the load port, the cassette is separated from the pod and the cassette is stored on a storage shelf. When processing on the first cassette is completed, the second cassette is loaded into the processing tool. The first cassette is returned to the pod and removed from the load port. A cassette from a new pod is then seated on the load port, separated from its pod and stored on the storage shelf. This process continues until processing on each scheduled wafer lot is completed.
    Type: Grant
    Filed: May 18, 1999
    Date of Patent: September 2, 2003
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, William J. Fosnight, Joshua W. Shenk
  • Patent number: 6602127
    Abstract: A plant for producing semiconductor products that includes at least one clean room having a floor and a plurality of production units that are configured in the clean room. The plurality of the production units define processing locations for processing semiconductor products. The processing locations are located near the floor of the clean room. The plant also includes an air supply system for directly feeding in feed air at the processing locations. The circulation of feed air and waste air caused by the force of gravity is utilized so that feed air is made available with little energy expenditure.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: August 5, 2003
    Assignee: Infineon Technologies AG
    Inventor: Bernhard Heinemann
  • Patent number: 6592450
    Abstract: Disclosed is an access floor made using a special transfer paper which is laid on a floor of the interior of a clean room to prevent generation of dusts or bacteria in a factory of and integrated circuit such as a semiconductor, a laboratory for optics, genetic engineering and space engineering, a clinic room and so on, in which a conductive paint is coated on an upper surface of a plate and a special transfer paper with various patterns and colors are deposited and integrated on the conductive paint.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: July 15, 2003
    Inventor: Jae-Won Kim
  • Patent number: 6592318
    Abstract: An integrated wafer transport and transfer device is disclosed, which includes a vehicle with an integrated docking platform for holding a wafer carrier such as a FOUP (front opening unified pod). The docking platform is positioned at the correct height for sealing the FOUP to the load lock of a process tool. Vertical and/or horizontal movement is required in some cases. Methods for delivering wafers to process tools are also described. In a preferred embodiment, wafers are carried inside a FOUP on a cart, such as an automatically guided vehicle or a personally guided vehicle. The cart is docked at a process tool and the FOUP is sealed to the load lock of the tool without removing the FOUP from the cart. After processing on one tool, the cart along with the FOUP can be moved to the next process tool for further processing. The FOUP can stay on the same cart until all processing is completed. This is especially useful for moving priority lots through the fabrication facility quickly.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: July 15, 2003
    Assignee: ASM America, Inc.
    Inventor: Ravinder K. Aggarwal
  • Patent number: 6588123
    Abstract: An apparatus and a method for preventing a wafer mapping system of an SMIF system from being polluted by a corrosive gas remaining on wafers according to the present invention are disclosed. The wafer mapping system includes a plurality of mirrors and sensors used to detect the positions of the wafers. The apparatus of the prevent invention comprises a pipe having a plurality of holes thereon and a purge gas flowing inside the pipe, and is characterized in that the purge gas is emitted out from the plurality of holes toward the mirrors of the wafer mapping system, thereby preventing the mirrors from being polluted by the corrosive gas remaining on the wafers. The method of the prevent invention is characterized by emitting a purge gas from a pipe toward the mirrors of the wafer mapping system, thereby preventing the mirrors from being polluted by the corrosive gas remaining on the wafers.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: July 8, 2003
    Assignee: Promos Technologies, Inc.
    Inventors: San-Pen Chen, Shun-Lian Wu, William Wang
  • Patent number: 6585478
    Abstract: A robotic semiconductor handling system includes two robot arms for transferring substrates between processing, cooling, and storage stations. The first robot arm has a paddle-type end effector adapted such that it can support one substrate at a primary location as well as a second substrate at a secondary staging location. The second robot arm is a Bernoulli-style wand that transfers a substrate from the primary location to the secondary one, and transfers substrates from either location to the process chamber. The use of the dual-location paddle allows for a significant reduction in cycle-time over a single paddle location and a Bernoulli wand system.
    Type: Grant
    Filed: November 7, 2000
    Date of Patent: July 1, 2003
    Assignee: ASM America, Inc.
    Inventors: Eric R. Wood, Richard Crabb, James A. Alexander
  • Patent number: 6582296
    Abstract: A semiconductor manufacturing apparatus ventilating system can reduce energy consumption with respect to air-conditioning and a power spent for transporting air in a clean room by minimizing an amount of circulating air in a clean room. The clean room air, which is supplied to the clean room, is introduced into an air circulating system including the semiconductor manufacturing apparatus. The air circulating system is separated from an atmosphere in the clean room. The clean room air in the air circulating system is circulated within the air circulating system.
    Type: Grant
    Filed: December 20, 2000
    Date of Patent: June 24, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Kiyoshi Komiyama
  • Patent number: 6575687
    Abstract: A wafer transport mechanism is disclosed capable of transferring workpiece cassettes between lot boxes and SMIF pods. The transport mechanism includes a frame having a first support platform on a first side of the frame for supporting a SMIF pod, and a second support platform on a second side of the frame for supporting a lot box. The frame further includes a carrier transfer mechanism which resides completely within the frame when in a home position. The transfer mechanism includes an arm and a gripper pivotally mounted to the arm. Once a SMIF pod and lot box are seated on their respective support platforms, the transfer mechanism transfers a cassette between the two containers.
    Type: Grant
    Filed: December 4, 2000
    Date of Patent: June 10, 2003
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Robert R. Netsch, Richard Gould
  • Publication number: 20030077153
    Abstract: An ID code reader, adapted to read an ID code from a wafer cassette or other substrate carrier, is integrated with a substrate carrier handling robot. In one aspect, the robot includes a support structure, and a chassis mounted for movement on the support structure. In this aspect an ID code reader is mounted on the chassis or otherwise mounted for movement along with an end effector adapted to engage the substrate carrier.
    Type: Application
    Filed: October 19, 2001
    Publication date: April 24, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Martin R. Elliott, Jeffrey C. Hudgens
  • Patent number: 6551044
    Abstract: A loadlock chamber for a semiconductor processing apparatus comprises an index platform or registration plate, a shaft extending through a wall of the chamber to actuate the platform, and a bellows located on the outside of the chamber to isolate the shaft from the external environment. A seal is placed between the bottom of the registration plate and the wall of the chamber so as to isolate the space under the registration plate and within the bellows from the remainder of the chamber when the platform is in a fully lowered position. A gutter is formed in a wall of the chamber below the registration plate to catch particulate matter, such as broken wafer particles. A method of accessing the interior of a loadlock chamber limits exposure of the space within the bellows to the external environment.
    Type: Grant
    Filed: September 14, 1999
    Date of Patent: April 22, 2003
    Assignee: ASM America, Inc.
    Inventor: Ronald R. Stevens
  • Patent number: 6549825
    Abstract: An alignment apparatus which obtains an amount of correction for centering a semiconductor wafer from four points of a wafer edge detected by noncontact proprioceptors in a wafer delivery position P1 where the semiconductor wafer is passed to a wafer carrying unit from a wafer carrying robot and centers the semiconductor wafer.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: April 15, 2003
    Assignee: Olympus Optical Co., Ltd.
    Inventor: Shunsuke Kurata
  • Patent number: 6543981
    Abstract: A method for creating a reduced particle environment in a localized area of a mechanically active transport interface is provided. The augmentation of the air flow results in a sweeping air flow to remove particles in and around the desired area. The augmented air, flow will eliminate static or turbulent air flow regions and assist in removing potential particles from the vicinity of the substrate. This will prevent particles from being deposited on substrates thus fostering higher yields and improved quality.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: April 8, 2003
    Assignee: Lam Research Corp.
    Inventors: Harlan I. Halsey, David E. Jacob
  • Patent number: 6540466
    Abstract: An improved apparatus and method is provided for storing semiconductor wafer carriers, and for loading wafers or wafer carriers to a fabrication tool. The apparatus preferably provides an elevated port for receipt of wafer carriers from an overhead factory transport, allows for local interconnection among a plurality of the inventive apparatuses, and enables independent loading of the factory load port and the tool load port. An inventive wafer handling method which divides a lot of wafers into sublots and distributes the sublots among tools configured to perform the same process is also provided.
    Type: Grant
    Filed: July 9, 1999
    Date of Patent: April 1, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Robert Z. Bachrach
  • Patent number: 6541787
    Abstract: An apparatus and a method for aligning a loadport on a process machine are disclosed. The apparatus is constructed by a base plate, an alignment block mounted on the base plate, a light source and an optical detector. The alignment block is provided with an aperture extending longitudinally through the block, or formed in a T-shape extending both longitudinally and transversely through the block. The light source may be suitably a laser emission source, or a laser source that operates in a pulse mode. The diameter of the aperture provided in the alignment block should be sufficiently small, i.e. smaller than 5 mm, and preferably smaller than 3 mm.
    Type: Grant
    Filed: April 18, 2001
    Date of Patent: April 1, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Andrew Lin, Yi-Yu Liu, Tung-Gan Cheng, Tung-Liang Wu, Hsueh-Cheng Lin, Yaw-Wen Wu, Chia-Fu Tsai
  • Patent number: 6533521
    Abstract: Access to the interior of a substrate storage pod in which substrates such as semiconductor wafers are stored is gained using an access device provided within a micro environment enclosure. The access device has a telescoping enclosure door which serves to control access to the interior of the storage pod from the interior of the enclosure. A pre-aligner is mounted to the enclosure door, and substrates are passed through the pre-aligner as they are retrieved from the storage pod. The pre-aligner detects and adjusts the orientation of the substrates as necessary for processing. The level of the pre-aligner is incrementally adjusted to match that of a current substrate by incrementally adjusting the level of the enclosure door.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: March 18, 2003
    Assignee: Genmark Automation, Inc.
    Inventors: Alexander Todorov, Mila Genov
  • Publication number: 20030049102
    Abstract: An elastically expandable positioning device for positioning a cover of a unified pod is disclosed, which has a central fastener having one end protruded on the interface plate and an axial input hole opened at another end, and at least one radial distributing hole equally opened at its circular surface; a ring-shaped inflatable body made from an expandable elastic material; a supporting ring encircled around the central bolt and positioned above the distributing hole, for supporting the inflatable body, the supporting ring having at least one radial inflating hole equally positioned corresponding to the radial distributing hole of the central bolt; and an input fluid source connected to the axial input hole of the central bolt, for providing an input fluid.
    Type: Application
    Filed: September 5, 2002
    Publication date: March 13, 2003
    Applicant: Fortrend Taiwan Scientific Corp.
    Inventors: Kung Chris Wu, Torben J. Ulander, Chawshiang Wu, John Rush
  • Publication number: 20030044261
    Abstract: The semiconductor material handling system is an EFEM that may either mount to the front end of a processing tool or be integrated into the processing tool. The EFEM is built from a unified frame that the EFEM components, such as a wafer engine and a SMIF pod advance plate, may mount to. The frame serves as a common mounting structure that the EFEM components may use as a reference for alignment purposes. Since the EFEM components do not have to align with respect to the position of each other, the calibration, if any is required, is greatly simplified. The EFEM also has a reduced footprint, allowing the EFEM to mount to the front end of a processing tool and not extend to the fab floor. Thus, space is freed up between the EFEM and the fab floor. By way of example only, this space may be used as a maintenance access area to the processing tool without having to first remove the EFEM.
    Type: Application
    Filed: March 1, 2002
    Publication date: March 6, 2003
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Publication number: 20030031539
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall and vertically disposed relative to a plurality of cassette docking stations, and a cassette mover to carry a cassette between the shelves and the docking stations. An interstation transfer apparatus includes a support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Application
    Filed: October 2, 2002
    Publication date: February 13, 2003
    Inventors: Jaim Nulman, Nissim Sidi
  • Publication number: 20030031538
    Abstract: A datum plate is provided for use in installations of substrate handling systems. The datum plate has a set of predetermined attachment locations adapted to couple the datum plate to a chamber; a set of predetermined attachment locations adapted to couple one or more automatic door opener platforms to the datum plate; and a set of predetermined attachment locations adapted to couple one or more substrate handlers contained within the chamber, to the datum plate. The attachment locations are positioned such that when the datum plate is coupled to the chamber, and the automatic door opener platform and the substrate handler are coupled to the datum plate, the substrate handler and automatic door opener platform are aligned for substrate transfer therebetween. Other apparatuses such as substrate storage locations, automation modules, substrate carrier handlers and/or shelves for storing substrate carriers may also be coupled to the datum plate, via sets of predetermined attachment locations.
    Type: Application
    Filed: June 28, 2002
    Publication date: February 13, 2003
    Applicant: APPLIED MATERIALS, INC.
    Inventor: William Tyler Weaver
  • Patent number: 6519504
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: January 19, 2000
    Date of Patent: February 11, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Publication number: 20030017031
    Abstract: In accordance with the present invention, in one embodiment, a semiconductor apparatus for transferring workpiece with protection feature is provided. The semiconductor apparatus comprises a processing tool having a movable platform for supporting a workpiece, an interface apparatus having a robotic transfer means is to transfer the workpiece between the movable platform and the interface apparatus, a sensor means is for detecting whether the movable platform is in a selected condition, and producing an output, and a control module responsive to the output is to control the robotic transfer means. If the sensor detects that the movable platform is not ready, the protection feature is activated to disable the load/unload function of the robotic transfer means of the interface apparatus to prevent damage due to improper operation.
    Type: Application
    Filed: July 20, 2001
    Publication date: January 23, 2003
    Applicant: Macronix International Co., Ltd.,
    Inventor: Tsai-Pei Huang
  • Publication number: 20030012626
    Abstract: An integrated wafer transport and transfer device is disclosed, which includes a vehicle with an integrated docking platform for holding a wafer carrier such as a FOUP (front opening unified pod). The docking platform is positioned at the correct height for sealing the FOUP to the load lock of a process tool. Vertical and/or horizontal movement is required in some cases. Methods for delivering wafers to process tools are also described. In a preferred embodiment, wafers are carried inside a FOUP on a cart, such as an automatically guided vehicle or a personally guided vehicle. The cart is docked at a process tool and the FOUP is sealed to the load lock of the tool without removing the FOUP from the cart. After processing on one tool, the cart along with the FOUP can be moved to the next process tool for further processing. The FOUP can stay on the same cart until all processing is completed. This is especially useful for moving priority lots through the fabrication facility quickly.
    Type: Application
    Filed: July 13, 2001
    Publication date: January 16, 2003
    Inventor: Ravinder K. Aggarwal
  • Publication number: 20030012625
    Abstract: A SMIF load port assembly is disclosed including a port door position compensation assembly capable of dynamically adjusting a relative spacing between a front surface of a port door and a front surface of a pod door loaded onto the load port assembly so as to compensate for any improper positioning of the front surface of the pod. The position compensation assembly includes a plunger translationally mounted in the port door, and a sensor for detecting a position of the plunger. As a pod is loaded onto the load port assembly is advanced toward the port door, the front surface of the pod door contacts the plunger at which point the position compensation assembly in combination with an overall controller can identify the exact position of the pod door. From this identification, the position of the port and/or pod doors may be adjusted to compensate for any improper positioning of the front surface of the pod door on the load port assembly.
    Type: Application
    Filed: July 13, 2001
    Publication date: January 16, 2003
    Inventor: Frederick T. Rosenquist
  • Publication number: 20030012627
    Abstract: An apparatus for transferring a front opener unified pod (FOUP) door for a FOUP opener, in which a protection cover is provided to prevent an inflow of particles through a belt when a separated FOUP door moves up and down, or a lifter having an improved structure employing a ball screw mode and a door holder slider which is driven by a rack/pinion gear operated by a driving motor mounted thereon are provided.
    Type: Application
    Filed: October 19, 2001
    Publication date: January 16, 2003
    Applicant: Shinsung Eng Corporation
    Inventors: Wan Keun Lee, Yong Pyo Kim
  • Patent number: 6506009
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall and vertically disposed relative to a plurality of cassette docking stations, and a cassette mover to carry a cassette between the shelves and the docking stations. An interstation transfer apparatus includes a support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: January 14, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Jaim Nulman, Nissim Sidi
  • Publication number: 20030002961
    Abstract: A processor for processing articles, such as semiconductor wafers, includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. An article extraction mechanism adapted to seal with the pod removes articles from the pod without exposing the articles to ambient atmospheric conditions in the interface section. The article processor also preferably includes an article insertion mechanism adapted to seal with a pod in the interface section. The article insertion mechanism allows insertion of the articles into the pod after processing by at least one processing station.
    Type: Application
    Filed: July 25, 2002
    Publication date: January 2, 2003
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Publication number: 20020192057
    Abstract: A system for transporting substrates into a clean room is provided. The system has an isolation chamber located between the clean room and a staging area. A first movable closure is coupled to the staging area side of the isolation chamber and is adapted to open a substrate shipping container. A second movable closure is coupled to the clean room side of the isolation chamber and is adapted to open a substrate interprocess container. A substrate transfer robot is located within the isolation chamber and is adapted to transfer substrates from the substrate shipping container, opened by the first movable closure, to the substrate interprocess container opened by the second movable closure.
    Type: Application
    Filed: June 19, 2001
    Publication date: December 19, 2002
    Inventor: Peter Van Der Meulen
  • Publication number: 20020182037
    Abstract: A substrate processing apparatus for providing predetermined processing to wafers brought in through the load port door comprises in the front of the load port door a load port table on which a wafer carrier accommodating a plurality of wafers is placed, and a shield plate is provided so as to surround the load port table.
    Type: Application
    Filed: March 7, 2002
    Publication date: December 5, 2002
    Applicant: SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC.
    Inventors: Shinyo Kimoto, Kenji Tokunaga, Seokhyun Kim, Terumi Muguruma, Yoshiaki Yamada, Shinichi Watanabe, Masahiro Nishi
  • Publication number: 20020164232
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Application
    Filed: June 25, 2002
    Publication date: November 7, 2002
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Publication number: 20020150449
    Abstract: An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay: A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Application
    Filed: June 5, 2002
    Publication date: October 17, 2002
    Applicant: Semitool, Inc.
    Inventors: Gordon Ray Nelson, Daniel P. Bexten, Jeffry A. Davis
  • Publication number: 20020146312
    Abstract: A method for loading substrates in a processing system is provided. In one embodiment, a method for loading substrates utilizes a substrate loader that generally includes a wall having an exterior side with one or more apertures formed therethrough and a related method of loading a processing system. A door assembly is movably coupled to the wall in each of the apertures and is adapted to temporarily retain the substrate. A first portion of the door assembly substantially closes the aperture when the door assembly is in a first or closed position, and a second portion of the door assembly substantially closes the aperture when the door assembly is in a second or open position. A robot for transferring a substrate in a processing system is also provided.
    Type: Application
    Filed: May 31, 2002
    Publication date: October 10, 2002
    Inventors: Himanshu J. Chokshi, Ben Ju, Eric J. Hilton
  • Patent number: 6461437
    Abstract: An apparatus for manufacturing a liquid crystal display device that can prevent chemical contamination attributed to contacting an external atmosphere, and a method of manufacturing the liquid crystal display device. The apparatus includes a cleaning chamber, a film deposition chamber for depositing a film on a layer cleaned in the cleaning chamber, and a transporter for transporting a substrate from the cleaning chamber to the film deposition chamber while preventing the substrate from being exposed to the external atmosphere.
    Type: Grant
    Filed: November 20, 2000
    Date of Patent: October 8, 2002
    Assignees: Mitsubishi Denki Kabushiki Kaisha, Seiko Epson Corporation
    Inventors: Takeshi Kubota, Norikazu Komatsu
  • Publication number: 20020141850
    Abstract: In a semiconductor fabrication apparatus, a pod that receives a single substrate using a substrate supporting table and a lid member in a sealing state is loaded from outside of a chamber and the pod is opened within the chamber so as to enable the substrate received in the pod to be held and managed. The semiconductor fabrication apparatus includes a first pod conveyance device that transfers the pod from a pod loading position to a pod opening position, a pod opening device that causes the lid member of the pod transferred by said first pod conveyance device to be left outside the chamber and causes the supporting table to be separated into the chamber from the pod, a substrate storage rack provided within the chamber for storing a plurality of substrates, and a substrate carrying device provided within said chamber for taking out the substrate on the substrate supporting table and putting the substrate into said substrate storage rack.
    Type: Application
    Filed: March 21, 2002
    Publication date: October 3, 2002
    Applicant: Canon Kabushiki Kaisha
    Inventor: Kohei Yamada
  • Patent number: 6454519
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Grant
    Filed: March 7, 1997
    Date of Patent: September 24, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall, David Cheng
  • Patent number: 6447233
    Abstract: An automated door assembly is provided for sealing an opening in a barrier to a contaminant-free environment suitable for semiconductor wafer processing. The door assembly comprises a base pivotable toward and away from the opening along an arcuate path and a closure plate linearly movably coupled to the base and configured to a seal the opening in the barrier. The closure plate is removable in synchronization with the pivoting of the base to traverse a horizontal linear path into contact with the barrier to close the opening. A vacuum system is provided in conjunction with the door assembly to extract particles from the sealed environment. A sensor disposed on the closure plate is operable to sense the position of wafers or other objects disposed adjacent the opening, to detect misalignment or omission thereof.
    Type: Grant
    Filed: August 18, 2000
    Date of Patent: September 10, 2002
    Assignee: PRI Automation, Inc.
    Inventor: Jeffrey M. Denker
  • Patent number: 6447232
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: September 10, 2002
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis