For Carrying Standarized Mechanical Interface (smif) Type Patents (Class 414/217.1)
  • Publication number: 20100202093
    Abstract: A transfer chamber is provided between a processing unit for performing a predetermined process on a target substrate to be processed in a depressurized environment and an atmospheric maintaining unit for maintaining the target substrate in an atmospheric environment to transfer the target substrate therebetween. The transfer chamber includes a chamber main body for accommodating the target substrate, a gas exhaust unit for exhausting the chamber main body to set the chamber main body to the depressurized environment, and a gas supply unit for supplying a predetermined gas to the chamber main body to set the chamber main body in the atmospheric environment. Further, in the transfer chamber, an ionization unit is provided outside the chamber main body, for ionizing the predetermined gas and an ionized gas supply unit is provided to supply the ionized gas generated by the ionization unit to the chamber main body.
    Type: Application
    Filed: February 5, 2010
    Publication date: August 12, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun YAMAWAKU, Junji Oikawa, Hiroyuki Nakayama
  • Patent number: 7771151
    Abstract: The present invention generally comprises a tool load device for transferring a container between a container transport system and a processing tool. The tool load device may service a single load port or multiple load ports. Regardless, the tool load device is preferably located between the load port of the processing tool and the section of the container transport system passing the processing tool. The tool load device provides an improved method of moving containers between a conventional load port and, for example, a conveyor. In another embodiment, the tool load device is coupled with an x-drive assembly that moves the tool load device along a path that is substantially parallel to the container transport system passing in front of the load port—allowing the tool load device to service multiple load ports.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: August 10, 2010
    Assignee: Muratec Automation Co., Ltd.
    Inventors: Anthony C. Bonora, Michael Krolak, Roger G. Hine
  • Publication number: 20100190343
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Application
    Filed: January 27, 2010
    Publication date: July 29, 2010
    Applicant: ASM AMERICA, INC.
    Inventors: Ravinder Aggarwal, Jeroen Stoutjesdijk, Eric Hill, Loring G. Davis, John T. DiSanto
  • Patent number: 7750818
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: July 6, 2010
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Publication number: 20100162955
    Abstract: In accordance with some embodiments described herein, a system for processing substrates includes two or more process modules, a substrate handling robot, a load lock chamber, and a transverse substrate handler. The transverse substrate handler includes mobile transverse chambers configured to convey substrates to process modules, wherein each mobile transverse chamber is configured to maintain a specified gas condition during the conveyance of the substrates. The transverse substrate handler further includes a rail for supporting the mobile transverse chambers, wherein the rail is positioned adjacent to entry of the process modules, and drive systems for moving the mobile transverse chambers on the rail.
    Type: Application
    Filed: December 31, 2008
    Publication date: July 1, 2010
    Inventors: Lawrence Chung-Lai Lei, Alfred Mak, Rex Liu, Kon Park, Samuel S. Pak, Ying Tsong Loh, Tzy-Chung Terry Wu, Simon Zhu, Roland L. Rose, Gene Shin, Xiaoming Wang
  • Patent number: 7740437
    Abstract: A system for processing semiconductor substrates includes a front-end with at least two vertical levels of input/output ports for transferring substrate cassettes into or out of the housing of the processing system. The front-end also includes at least one level of storage positions, e.g., two levels of storage positions, which can be disposed between the two vertical levels of the input/output ports. The two vertical levels of storage positions can each be provided with two storage positions and each of two levels of input/output ports can be provided with accommodations for two cassettes, allowing for a total of eight cassettes to be accommodated at the front-end of the processing system. Inside the housing of the processing system, interior storage positions can be provided adjacent a wafer handling chamber and spaced apart from a cassette store having rotary platforms for housing cassettes.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: June 22, 2010
    Assignee: ASM International N.V.
    Inventors: Christianus Gerardus Maria De Ridder, Edwin den Hartog
  • Patent number: 7737416
    Abstract: There is provided a mini environment type transfer unit which can efficiently transfer a sample to a critical dimension scanning electron microscope (CD-SEM) even in the case of use of a SMIF pod which can store only one photomask. In addition to a load port, a stocker which can store a plurality of photomasks is provided in the mini environment type transfer unit. A mask storage slot in which a plurality of storage units are stacked is provided in the stocker, and one photomask is stored in each storage unit. A sensor is provided in each storage unit to determine whether or not the photomask is normally stored. Additionally, a sensor is provided in each storage unit to detect whether or not the photomask exists.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: June 15, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takashi Gunji, Hidetoshi Sato, Katsuya Kawakami, Hideki Yatabe
  • Publication number: 20100143082
    Abstract: A system comprising a conveyor. A semiconductor processing tool has a lifter port. The tool is positioned near the conveyor, such that the lifter port is configured to transport a Front Opening Unified Pod (FOUP) between the conveyor and the lifter port. An upstream stocker and a downstream stocker are both co-located with the conveyor and the tool. The upstream and downstream stockers each have a respective storage space for the FOUP and a respective robotic device configured to transport the FOUP between its respective storage space and the conveyor. The upstream stocker is configured to receive the FOUP from an overhead transport (OHT) and deliver the FOUP to the conveyor. The downstream stocker is configured to receive the FOUP from the conveyor and deliver the FOUP to the OHT.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 10, 2010
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Cheng Wang, Feng-Ning Lee, Chi-Feng Tung, Mao-Lin Kao, Li-Jen Ko
  • Publication number: 20100143081
    Abstract: A semiconductor manufacturing apparatus includes a load port supporting a FOUP holding a plurality of wafers, a process module performing a semiconductor manufacturing process on the plurality of wafers, an equipment front end module disposed between the load port and the process module, providing a clean area, and including an opener for opening and closing a door of the FOUP, a transfer module sequentially transferring the plurality of wafers between the FOUP and the process module, and a purge module spraying a purge gas toward the plurality of wafers in the FOUP when the door is open to connect the equipment front end module and the FOUP, so as to make gases released from the plurality of wafers be recovered into the equipment front end module.
    Type: Application
    Filed: November 24, 2009
    Publication date: June 10, 2010
    Inventors: Hyeong-seob Oh, Yohan Ahn, Hyeong-Ki Kim, Ki-Doo Kim, Woo-Yong Lee, Min-Seon Lee
  • Publication number: 20100135753
    Abstract: A load port is disclosed which allows a wafer to be transferred between the inside of a FOUP and the inside of a semiconductor fabrication apparatus even during a purge operation. The load port is provided adjacent the semiconductor fabrication apparatus in a clean room and includes a purge stage having a purge port through which a gas atmosphere in the FOUP is replaced into nitrogen gas or dry air, an opener stage provided in a juxtaposed relationship with the purge stage and having an opening communicating with the inside of the semiconductor fabrication apparatus and a door section capable of opening and closing the opening, and a moving mechanism for moving the FOUP between the purge stage and the opener stage.
    Type: Application
    Filed: December 1, 2009
    Publication date: June 3, 2010
    Applicant: SINFONIA TECHNOLOGY CO., LTD.
    Inventors: Mitsuo Natsume, Shin Kawahisa, Takumi Mizokawa
  • Publication number: 20100126904
    Abstract: A thin-plate container comprises a container body with an opening and an interior area and being pivotally connected to at least a pair of swing hooks near its opening; a container door for closing the opening of the container body; and a latch component disposed in the container door, which is movable in a to-and-fro direction between a first position and a second position when the container door closes the opening of the container body, wherein in the first position, the latch component is contained in the container door, and in the second position, the latch component extends beyond the container door and is in contact with the pair of swing hooks of the container body for making the container door to move in a sealing direction by using the swing hooks to achieve a sealed status between the container door and the container body.
    Type: Application
    Filed: December 8, 2008
    Publication date: May 27, 2010
    Inventors: Kung-Hao Cheng, Chang-Cheng Chen
  • Patent number: 7720558
    Abstract: In a first aspect, a first method of mapping contents of a substrate carrier is provided. The first method includes the steps of (1) coupling a sensor to the substrate carrier or a loadport adapted to receive the substrate carrier; and (2) determining a presence or absence of a substrate in a slot of the substrate carrier using the sensor. Numerous other aspects are provided.
    Type: Grant
    Filed: September 6, 2005
    Date of Patent: May 18, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Martin R. Elliott, Vinay Shah
  • Patent number: 7704031
    Abstract: When FOUPs (front opening unified pods) (80a, 80b) are mounted on shelves (111d, 121c), respectively, shelves (121a, 121b, 121c) are moved in the vertical direction by cylinders (127a, 127b, 127c), respectively. The FOUP (80b) is thereby moved above the FOUP (80a) to create a space (129), for ensuring a transport path (AR6) for the FOUP (80a). The FOUP 80a can therefore be transported to a shelf (141) without moving the FOUP (80b) to another shelf, achieving improved throughput of substrate processing.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: April 27, 2010
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Ichiro Mitsuyoshi
  • Publication number: 20100080671
    Abstract: A setup method of a substrate processing apparatus comprises: connecting a test terminal, which includes a pseudo comprehensive control unit and a second operation unit, to a plurality of process furnace control units, with the process furnace control units being separated from the comprehensive control unit and a first operation unit; transmitting a process furnace test operation command from the second operation unit to the plurality of process furnace control units through the pseudo comprehensive control unit; testing operations of a plurality of process furnaces in parallel by the plurality of process furnace control units receiving the process furnace test operation command; and transmitting a process furnace test operation report from the plurality of process furnace control units to the second operation unit through the pseudo comprehensive control unit.
    Type: Application
    Filed: September 21, 2009
    Publication date: April 1, 2010
    Inventor: Masanori OKUNO
  • Publication number: 20100068009
    Abstract: A vacuum processing apparatus includes a plurality of vacuum containers; a vacuumized transfer unit connected with the vacuum containers and having a transfer chamber; a plurality of lock chambers connected to the vacuumized transfer unit; a vacuumized transferring section arranged in the transfer chamber to transfer the sample between each of the lock chambers and each of the processing chambers inside the plurality of vacuum containers; an atmospheric transfer container having a space through which the sample is transferred under the atmospheric pressure; an atmospheric transfer unit arranged in the atmospheric transfer container and adapted to transfer the sample from a cassette; and a controller operative on the basis of schedule information of a plurality of operations to adjust the operations, the information including times of stagnation of the plurality of samples and set therefor.
    Type: Application
    Filed: February 19, 2009
    Publication date: March 18, 2010
    Inventors: Shingo Kimura, Shouji Okiguchi, Akira Kagoshima, Shinji Obama
  • Patent number: 7677859
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, apparatus for removing a door of a substrate magazine and thus opening the substrate magazine, and for operating the aperture closure to open the aperture, and an elevator for precisely positioning the open substrate magazine along a vertical axis within a usable range of motion. The station may also include a sensor for mapping locations of the substrates, and a mini-environment for interfacing the station to a substrate processing system.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: March 16, 2010
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, David R. Beaulieu, Peter Van Der Meulen
  • Patent number: 7674083
    Abstract: In a load port portion of a conventional clean device, it can happen that cleanliness is reduced by dust caused by wear of bellows and a lid is not separated by its weight from a main body. In order to solve such problems, a clean device is structured as follows: a lid of a clean box has a non-circular reception hole, a load port portion of the clean device has an opening/closing mechanism with a projection fittable in the receiving hole and has a buffer chamber, one end of bellows of the buffer chamber is connected to the bottom surface of the buffer chamber, and the other end of the bellows is fixed, on the outside of the buffer chamber, to raising/lowering means of a port door.
    Type: Grant
    Filed: May 11, 2004
    Date of Patent: March 9, 2010
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Shigeki Ishiyama
  • Publication number: 20100054897
    Abstract: A semiconductor workpiece processing system having at least one processing apparatus for processing workpieces, a primary transport system, a secondary transport system and one or more interfaces between first transport system and second transport system. The primary and secondary transport systems each have one or more sections of substantially constant velocity and in queue sections communicating with the constant velocity sections.
    Type: Application
    Filed: August 19, 2009
    Publication date: March 4, 2010
    Applicant: Brooks Automation Inc.
    Inventors: Michael L. Bufano, Ulysses Gilchrist, William Fosnight, Christopher Hofmeister, Daniel Babbs, Robert C. May
  • Patent number: 7670095
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: March 2, 2010
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Publication number: 20100048035
    Abstract: A robot apparatus according to the invention is configured to hand over a workpiece by rotating by a prescribed angle a finger including a holding means for holding the workpiece. The robot apparatus includes: a drive shaft including a first finger and a second finger spaced from each other. The first finger includes a first arm portion and a second arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second finger includes a third arm portion and a fourth arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second arm portion and the fourth arm portion are distanced from each other when the first arm portion and the third arm portion overlap in the axial direction of the drive shaft. The robot apparatus can further improve productivity without incurring size increase and high cost.
    Type: Application
    Filed: November 30, 2007
    Publication date: February 25, 2010
    Applicant: SHIBAURA MECHATRONICS CORPORATION
    Inventors: Hidehito Azumano, Masahiro Tanabe
  • Publication number: 20100040438
    Abstract: Systems and methods are disclosed that can be employed in transferring a plurality of packaged semiconductors. The system comprises a first input station configured to receive one or more storage mediums of a first type configured to store packaged semiconductors. A second input station is configured to receive one or more storage mediums of a second type configured to store packaged semiconductors. A differential pressure supply provides a differential pressure that moves packaged semiconductors between a given storage medium of the first type and a given storage medium of the second type.
    Type: Application
    Filed: August 15, 2008
    Publication date: February 18, 2010
    Inventors: Hung-Yi Lee, Hsien-Shen Chen, Johns Lee, Joe Chou
  • Publication number: 20100034621
    Abstract: A port door providing an interface into a processing tool is provided. The port door includes first and second arms pivotably mounted on a top edge of the port door. The first and second arms are configured to extend from a plane of the port door towards a carrier containing substrates for the processing tool. The first arm has an emitter transmitting a beam that is split into a plurality of sub-beams within the first arm. The second arm has a plurality of sensors receiving corresponding sub-beams, wherein one of sub-beams provides information as to a position of an end effector relative to a gap between the substrates in the carrier.
    Type: Application
    Filed: April 29, 2009
    Publication date: February 11, 2010
    Inventors: Raymond S. Martin, Anthony C. Bonora, Michael Krolak
  • Publication number: 20100036523
    Abstract: A vacuum processing apparatus includes a vacuum chamber capable of keeping a first pressure lower than an atmospheric pressure, a driving source disposed in the vacuum chamber, an electric power supply mechanism including a primary side mechanism disposed outside the vacuum chamber for supplying electric power to the driving source and a secondary side mechanism disposed in the vacuum chamber for receiving the electric power from the primary side mechanism in a contactless relationship, and a vessel capable of accommodating airtightly the secondary side mechanism under a second pressure higher than the first pressure.
    Type: Application
    Filed: August 6, 2009
    Publication date: February 11, 2010
    Applicant: SINFONIA TECHNOLOGY CO., LTD.
    Inventors: Yushi SATO, Toshio Miki, Yosuke Muraguchi, Katsumi Yasuda, Kazunari Kitaji, Yasushi Muragishi, Minoru Maeda
  • Publication number: 20100021273
    Abstract: The present invention embodies processing systems and vacuum chambers equipped to process substrates for flat panel displays, solar cells, or other electronic devices. The processing system and/or the vacuum chambers as well as their components and supporting structure are constructed of less costly materials and in a more energy efficient manner than that of current large area substrate processing systems. In one embodiment, the processing system chamber bodies and their supporting structures are constructed of reinforced concrete. In one embodiment, system processing chambers include a vacuum tight lining disposed inside reinforced concrete chamber bodies.
    Type: Application
    Filed: July 21, 2009
    Publication date: January 28, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ALEXANDER S. POLYAK, TOM K. CHO, JOSEPH YUDOVSKY, ANTHONY VESCI
  • Patent number: 7651307
    Abstract: The present invention comprises a container transport and loading system. The system generally comprises a load port for presenting articles to a tool and a container transport system. In one embodiment, the load port includes a vertically movable FOUP advance plate assembly that is adapted to load and unload a FOUP from a conveyor that passes by the load port and move the FOUP horizontally. In another embodiment, the load port includes a vertically movable support structure that is adapted to load and unload a container from a shuttle that passes by the load port. The various embodiments of the load port and container transport system are improvements over conventional container transport systems. The present invention also includes a shuttle for simultaneously transporting multiple containers that a load port may load or unload a container from.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: January 26, 2010
    Assignee: Muratec Automation Co., Ltd.
    Inventors: Anthony C. Bonora, Michael Krolak, Roger G. Hine
  • Publication number: 20100008748
    Abstract: The goal of the present invention is to provide an apparatus capable of cooperation with at least one piece of wafer processing equipment, comprising leak proof walls comprising a first opening comprising means for the connection to a first equipment chosen from between a transfer chamber and a processing chamber, a second opening comprising means of connection to a wafer transport case containing a basket, comprising a series of stacked parallel trays suitable for storing a wafer each, the basket being susceptible of being transported inside the apparatus, means of moving the basket from and to the transport case, and means for immobilizing the trays. The apparatus further comprises a third opening comprising means for the connection to a second piece of equipment chosen from between an EFEM module and a transfer chamber, and means for the placement and support of a wafer capable of working together with movement means for the wafer enabling its passage through the second opening and/or the third opening.
    Type: Application
    Filed: July 7, 2009
    Publication date: January 14, 2010
    Inventors: Erwan Godot, Emmanuelle Veran
  • Publication number: 20100003110
    Abstract: The invention provides a suction holding apparatus and a suction holding method that allow suction-holding a substrate reliably irrespective of substrate type, with a simple and inexpensive structure. The suction holding apparatus comprises a suction plate (2) having a suction surface (21); a lifting mechanism for changing the relative position of a glass substrate (P1) and the suction plate (2); a. temperature changing section (5) for changing the temperature of the suction surface (21); and a control device (100) for controlling the temperature changing section (5) so as to cause dew condensation on the suction surface (21) when the glass substrate (P1) is suctioned onto the suction surface (21). The suction surface (21) is cooled to a temperature at or below the dew point temperature, whereupon the glass substrate (P1) is suctioned by way of condensed water, and is bonded to a counter substrate (P2).
    Type: Application
    Filed: June 12, 2007
    Publication date: January 7, 2010
    Inventor: Noriyuki Yokota
  • Publication number: 20090324367
    Abstract: A vacuum processing apparatus includes vacuum processing vessels each having a processing chamber with a pressure-reduced interior space, a vacuum transfer vessel which is coupled to the vacuum vessels disposed therearound and which has a low-pressure interior space in which a to-be-processed workpiece is conveyed, an atmospheric air transfer vessel which is coupled to the front side of the vacuum transfer vessel and which includes on its front face side cassette tables mounting thereon a cassette with the workpiece received therein for conveying the workpiece in an interior space under an atmospheric pressure, a position-aligning machine disposed within the atmospheric air transfer vessel at one of right and left ends for adjusting a position of the workpiece, and an adjuster disposed between lower part of this machine and a floor face for adjusting the supply of a fluid being fed to the vacuum processing vessels.
    Type: Application
    Filed: September 3, 2008
    Publication date: December 31, 2009
    Inventors: Masakazu Isozaki, Akitaka Makino, Shingo Kimura, Minoru Yatomi
  • Patent number: 7637707
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall above a cassette docking station, and a cassette mover to carry a cassette between the shelves and the docking station. An interstation transfer apparatus includes an overhead support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: December 29, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Evgueni Gantvarg, Victor Belitsky
  • Publication number: 20090297298
    Abstract: A transfer chamber is partitioned into a second chamber in which a transfer robot moving through an opening portion which can be opened/closed by a door is arranged, and a minute first chamber which serves as a FIMS system and includes a door capable of retaining a lid of a pod. The second chamber maintains a state in which an inert gas constantly circulates owing to minute nitrogen while having a pressure higher than that inside the first chamber. The first chamber is normally sealed while an oxide gas is suppressed in advance. In addition, at a time of transferring wafers, a partial pressure of the oxide gas is lowered with use of a downflow which is caused by the inert gas. Further, the first chamber and the second chamber are communicated with each other after a level of the partial pressure is confirmed with use of an oxygen level meter.
    Type: Application
    Filed: May 26, 2009
    Publication date: December 3, 2009
    Applicant: TDK CORPORATION
    Inventors: Tsutomu Okabe, Toshihiko Miyajima, Hiroshi Igarashi
  • Publication number: 20090297299
    Abstract: A combination of a FOUP (front opening unified pod) system and a reticle system utilized for the transport of wafers and a reticle system, the latter of which are used for transporting reticles from a first fabrication site to a further site at another location, and which provides for a unified system enabling the automated and trackable delivery of the reticles between these sites. Provided is a modified FOUP base structure, which is adapted to retain a reticle and to be able to employ existing equipment in a fabrication site which only necessitates a minimal modification of the equipment in order to render the latter universally adaptable to the combination of the systems.
    Type: Application
    Filed: August 7, 2009
    Publication date: December 3, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Philip L. Campbell, David J. Pinckney, Edward Sherwood, Uldis A. Ziemins
  • Patent number: 7618225
    Abstract: Disclosed is a six-bar linkage positioning mechanism mounted inside a clean container formed of a locating member and a four-bar linkage and a driving module which, when moved, has the slide of the driving module stopped at the base of the cleaning container and be forced to move upwards relative to a sliding way inside the locating member and to cause the driving link of the driving module to drive the four-bar linkage upwards and to further force the second link of the four-bar linkage to push workpieces in respective insertion slots in a cassette on the clean container. The six-bar linkage positioning mechanism has only one degree of freedom so that it moves workpieces horizontally in the cassette into position by means of contact at a point, preventing contamination due to friction and also improving moving stability.
    Type: Grant
    Filed: November 23, 2004
    Date of Patent: November 17, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Kuan-Chou Chen, Tzong-Ming Wu
  • Patent number: 7614840
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: November 10, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Publication number: 20090274537
    Abstract: A suspension apparatus includes a linking component which is used to suspend and support an object. The linking component has a first member which is connected to the object, and a second member which is linked to the first member, and the first member and the second member are linked together such that a relative position of each in a first direction is regulated, while relative movement between the first member and the second member in a second direction which is different from the first direction is possible.
    Type: Application
    Filed: September 5, 2008
    Publication date: November 5, 2009
    Applicant: NIKON CORPORATION
    Inventor: Noriya Kato
  • Patent number: 7611319
    Abstract: In at least one aspect, a system is provided that includes (1) a substrate carrier having first docking features; and (2) a loadport having second docking features. The second docking features are adapted to block docking of substrate carriers that do not include the first docking features and to allow docking of substrate carriers that include the first docking features. Numerous other aspects are provided.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: November 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Vinay Shah, Martin R. Elliott, Jeffrey C. Hudgens, Eric Andrew Englhardt
  • Patent number: 7611318
    Abstract: In a first aspect, a first apparatus is provided for use in supporting a substrate carrier. The first apparatus includes an overhead transfer flange adapted to couple to a substrate carrier body and an overhead carrier support. The overhead transfer flange has a first side and a second side opposite the first side that is wider than the first side. Numerous other aspects are provided.
    Type: Grant
    Filed: January 26, 2004
    Date of Patent: November 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Martin R. Elliott, Robert B. Lowrance, Jeffrey C. Hudgens, Eric A. Englhardt
  • Patent number: 7607880
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: October 27, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7607543
    Abstract: The present invention provides a reticle container that is equipped with a secondary container which houses the reticle and is housed in the primary container. The secondary container is held within the primary container with shock and vibration isolation members so that the secondary container has multiple degrees of freedom of motion within the primary container. The reticle is secured inside the secondary container such that shock and vibration transmission from the reticle container to the reticle is substantially attenuated.
    Type: Grant
    Filed: February 26, 2006
    Date of Patent: October 27, 2009
    Assignee: Entegris, Inc.
    Inventors: Barry Gregerson, David Halbmaier, Stephen Sumner, Brian Wiseman, Anthony Mathius Tieben, Justin Strike
  • Patent number: 7594789
    Abstract: In a first aspect, a first apparatus is provided for use in supporting a substrate carrier. The first apparatus includes an overhead transfer flange adapted to couple to a substrate carrier body and an overhead carrier support. The overhead transfer flange has a first side and a second side opposite the first side that is wider than the first side. Numerous other aspects are provided.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: September 29, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael R. Rice, Martin R. Elliott, Robert B. Lowrance, Jeffrey C. Hudgens, Eric A. Englhardt
  • Patent number: 7591624
    Abstract: A combination of a FOUP (front opening unified pod) system and a reticle system utilized for the transport of wafers and a reticle system, the latter of which are used for transporting reticles from a first fabrication site to a further site at another location, and which provides for a unified system enabling the automated and trackable delivery of the reticles between these sites. Provided is a modified FOUP base structure, which is adapted to retain a reticle and to be able to employ existing equipment in a fabrication site which only necessitates a minimal modification of the equipment in order to render the latter universally adaptable to the combination of the systems.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: September 22, 2009
    Assignee: International Business Machines Corporation
    Inventors: Philip L. Campbell, David J. Pinckney, Edward Sherwood, Uldis A. Ziemins
  • Publication number: 20090214323
    Abstract: A substrate carrier system is provided for physical management of substrate(s) during a variety of assembly techniques performed on the both sides of the substrate(s) without dismounting or changing carrier systems.
    Type: Application
    Filed: February 27, 2008
    Publication date: August 27, 2009
    Applicant: UNIVERSAL INSTRUMENTS CORPORATION
    Inventors: Laurence A. Harvilchuck, George R. Westby
  • Publication number: 20090196714
    Abstract: According to the invention, a transport box ‘1) may be connected to an interface (2) and contain a basket ‘3) in which the substrates (4) may be placed. The basket (3) consists of a set of plates (3a-3f), stacked one after the other and individually replaceable. The basket (3) is entirely shifted to the interior of the interface (2), then the means of movement isolating a selected plate by moving the adjacent places, allowing the robot (5) to come and extract the selected substrate. When in a transport position, the plates (3a-3f) are in contact with each other, therefore reducing the amount of space taken up by the substrates (4).
    Type: Application
    Filed: May 31, 2007
    Publication date: August 6, 2009
    Inventors: Raphael Sylvestre, Erwan Godot, Gloria Sogan
  • Patent number: 7547175
    Abstract: A transfer device for a substrate is capable of preventing deformation or breakage of the substrate due to bending stress by reducing the amount of dead weight deflection of the substrate, e.g. a large mother glass board. Supporting members extend in opposite directions from two sides of a storage device such that the substrate can be introduced or removed by raising and lowering the hand of a transfer machine inserted into the storage device between the supporting members. The supporting members are comprised of a plurality of supporting members in parallel, formed with a gap between the supporting members facing each other.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: June 16, 2009
    Assignees: Hitachi Plant Technologies, Ltd., Sharp Corporation
    Inventors: Hiroshi Chinbe, Yoshiya Endo, Naoki Shimakawa, Ichiro Fukuwatari, Yoshiaki Hayashida, Yoshio Takakura, Akio Matsuyama, Hideto Kohketsu
  • Publication number: 20090142166
    Abstract: Provided is an FIMS system in which loading is possible in a manner in which multiple thin pods are vertically stacked together, with an information pad being arranged on an abutment surface of the main body of each pod abutting a door and on the side surface of the door corresponding to the abutment surface. When there is detected a state in which the door is pushed in toward a mini-environment, information imparted to the information pad can be detected by the FIMS system.
    Type: Application
    Filed: November 20, 2008
    Publication date: June 4, 2009
    Applicant: TDK CORPORATION
    Inventors: Toshihiko MIYAJIMA, Hiroshi Igarashi
  • Patent number: 7537425
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: May 26, 2009
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Publication number: 20090116938
    Abstract: A check valve is installed in a line having a fluid path for preventing generation of a back flow in the fluid path. The check valve includes a cylindrical member in which a part of the fluid path is formed; a valve body installed in the cylindrical member and rotatable between a blocking position for blocking the fluid path and an opening position for opening the fluid path; a rotary shaft which is installed horizontally to divide the valve body into a large area and a small area and allows the valve body to rotate thereabout; and a locking member for locking the valve body in the blocking position. The small area has a larger mass than that of the large area.
    Type: Application
    Filed: November 4, 2008
    Publication date: May 7, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Shinji WAKABAYASHI
  • Patent number: 7523769
    Abstract: In order to easily and surely remove contaminants or the like from wafers stored in a pod (FOUP), a gas supply pipe is located above an opening portion in a FIMS system. A clean gas is blown to the upper surfaces of the wafers stored in the inner portion of the pod through the gas supply pipe to remove the contaminants or the like from wafers.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: April 28, 2009
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Hitoshi Suzuki, Hiroshi Igarashi
  • Publication number: 20090087287
    Abstract: An apparatus for semiconductor wafer transfer comprises a first region for placement of a pod, a second region for placement of a cassette, an unloading mechanism, and a transferring mechanism for transferring wafers in the unloaded pod to the cassette horizontally. In an embodiment, the pod is unloaded by lifting the housing of the pod, and preferably the apparatus for movement of semiconductor wafers further comprises a carrying mechanism for moving the cassette toward the pod, so that the cassette can be closer to the pod for smoothing wafer transfer.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 2, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yeh Hsin Yu, Jian Hung Chen, Chia Ho Chuang, Hsueh Cheng Wu
  • Publication number: 20090060689
    Abstract: A substrate processing system includes a first load lock, a process chamber having a first opening to allow an exchange of a substrate between the first load lock and the first process chamber, first rollers in the process chamber; and second rollers in the first load lock, wherein the first rollers and the second rollers are configured to transport a substrate thereon through the first opening between the first load lock and the process chamber. The first rollers and the second rollers are not rotated by an active transport mechanism.
    Type: Application
    Filed: August 30, 2007
    Publication date: March 5, 2009
    Inventors: G. X. Guo, K. A. Wang
  • Publication number: 20090028673
    Abstract: Systems for loading and unloading semiconductor wafers to and from semiconductor processing or storage equipment are disclosed. One system includes a pair of conveyor rails for transporting a container capable of holding semiconductor wafers around a processing facility. The pair of conveyor rails defining a plane on which the container is supported and transported. The system includes a load port positioned adjacent to the conveyor rails. The load port has a support plate for holding a container and an arm coupled to the support plate. The arm is configured to move between a lower position and an upper position, and the lower position is defined between the pair of conveyor rails and below the plane of the conveyor rails. The upper position is in a load/unload position, and the arm has a bend that enables the support plate to be placed over one of the pair of conveyor rails without requiring a notch in the one conveyor rail.
    Type: Application
    Filed: October 6, 2008
    Publication date: January 29, 2009
    Inventors: Anthony C. Bonora, Michael Krolak, Roger G. Hine