For Carrying Standarized Mechanical Interface (smif) Type Patents (Class 414/217.1)
  • Publication number: 20080008565
    Abstract: The invention relates to a handling device and to a handling method for wafers, in particular for wafers with a thickness of less than 100 ?m. According to the invention it is provided that an adhesive membrane is arranged so as to delimit at least one workspace, the volume of which can be changed by supplying or removing pressurising medium, and in that the size of the contact surface between the adhesive membrane and the wafer can be adjusted by changing the workspace volume.
    Type: Application
    Filed: June 28, 2007
    Publication date: January 10, 2008
    Inventor: Erich Thallner
  • Publication number: 20080003082
    Abstract: A holding apparatus for a substrate cassette include a support base for holding the cassette with substrates. A tilting device is fixed to the support base for allowing the support base to rotate about a horizontal axis from a horizontal position, wherein top surfaces of the substrates are arranged upwardly, to an over vertical position, wherein the top surfaces of the substrates are arranged downwardly, by rotating the support base over 90 degrees. A storage method for a substrate cassette includes steps of storing a substrate in the cassette for substrates almost horizontally, fixing a cassette on a support base, and rotating the support base around a rotary shaft so that the substrate may rotate to the angle beyond 90 degrees.
    Type: Application
    Filed: June 26, 2007
    Publication date: January 3, 2008
    Applicant: NEC LCD TECHNOLOGIES, LTD.
    Inventors: Hideto Motoshima, Yoshihide Iio, Masami Yamashita
  • Patent number: 7314345
    Abstract: When a conventional semiconductor container opening/closing apparatus opens a lid of a semiconductor container, foreign particles enter into the container from outside through a gap between the container and a wall surface of the container opening/closing apparatus and adhere to a wafer in the container. An apparatus is provided to reduce the number of foreign particles adhering to the wafer by preventing foreign particles from entering into the container at the time of opening the container by the opening/closing apparatus. To achieve this, a velocity-differential pressure ratio obtained by dividing the maximum velocity at the time of opening the lid of the container in a vertical direction to an opening of the container, by the differential pressure between the inside pressure and the outside pressure of said semiconductor manufacturing apparatus, is set to be 0.06 ((m/s) Pa) or less.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: January 1, 2008
    Assignees: Renesas Technology Corp., Hitachi Plant Engineering & Construction Co., Ltd.
    Inventors: Yoshiaki Kobayashi, Shigeru Kobayashi, Kenji Tokunaga, Koji Kato, Teruo Minami
  • Patent number: 7293950
    Abstract: The present invention is a wafer transfer system that transports individual wafers between chambers within an isolated environment. In one embodiment, a wafer is transported by a wafer shuttle that travel within a transport enclosure. The interior of the transport enclosure is isolated from the atmospheric conditions of the surrounding wafer fabrication facility. Thus, an individual wafer may be transported throughout the wafer fabrication facility without having to maintain a clean room environment for the entire facility. The wafer shuttle may be propelled by various technologies, such as, but not limited to, magnetic levitation or air bearings. The wafer shuttle may also transport more than one wafer simultaneously. The interior of the transport enclosure may also be under vacuum, gas-filled, or subject to filtered air.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: November 13, 2007
    Assignee: Aysts Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7275905
    Abstract: A cassette position control device controls the position of a cassette in which wafers are stored, when the wafer cassette is loaded on a cassette support. In addition to the cassette support, the device has a cassette moving section and a control section. The control section senses the state of the wafer cassette on the cassette support, and generates and outputs a motor drive signal to move the cassette to a designated relative position on the cassette support. The cassette moving section moves the wafer cassette to the designated position in response to the motor drive signal. Therefore, wafers can be loaded/unloaded to and from the same designated position after the wafer cassette has been placed on a cassette support.
    Type: Grant
    Filed: April 14, 2005
    Date of Patent: October 2, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong-Haw Lee
  • Patent number: 7261508
    Abstract: A method for aligning a loadport of a process machine to an overhead hoist transport (OHT) system which can be carried out by first providing an OHT rail overhanging a cleanroom floor; setting a reference point in the cleanroom in proximity to the OHT rail; marking on the cleanroom floor boundary reference lines for each row of process machines based on the reference point; positioning a process machine on the cleanroom floor with a boundary line of the machine aligned to the boundary reference line; mounting a loadport onto the process machine; and fine tuning a center line of the loadport to a reference line on the floor that is 200 mm apart from and parallel to the boundary reference line.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: August 28, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Li-Ren Lin, Shi-Ming Wang, Cheng-Chang Chang
  • Patent number: 7255524
    Abstract: A mechanism for mapping the contents of a cassette which is used for delivering substrates to a system for processing semiconductor and similar materials which is independent of load port or loading robot movement. The mapping mechanism includes a U-shaped probe having a photo emitter/receptor mounted on opposing surfaces of its legs. The U-shaped probe is mounted on a carriage for rotation about its longitudinal axis. The carriage is, in turn, mounted on a track for movement over the height of the cassette. The mapping mechanism is mounted on the loading port frame of the processing system adjacent to the load port and is moved into and out of it sensing position by interaction with a cam.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: August 14, 2007
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher A. Hofmeister, Ulysses Gilchrist
  • Patent number: 7217076
    Abstract: The semiconductor material handling system is an EFEM that may either mount to the front end of a processing tool or be integrated into the processing tool. The EFEM is built from a unified frame that the EFEM components, such as a wafer engine and a SMIF pod advance plate, may mount to. The frame serves as a common mounting structure that the EFEM components may use as a reference for alignment purposes. Since the EFEM components do not have to align with respect to the position of each other, the calibration, if any is required, is greatly simplified. The EFEM also has a reduced footprint, allowing the EFEM to mount to the front end of a processing tool and not extend to the fab floor. Thus, space is freed up between the EFEM and the fab floor. By way of example only, this space may be used as a maintenance access area to the processing tool without having to first remove the EFEM.
    Type: Grant
    Filed: March 1, 2002
    Date of Patent: May 15, 2007
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7201551
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: April 10, 2007
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 7187994
    Abstract: This invention includes a method of integrating into a semiconductor specimen fabrication station a process diagnostic module that performs on the semiconductor specimen a processing operation that otherwise would not be performed by the processing components to thereby make the fabrication station more efficient and flexible to use. The process diagnostic module includes, for example, a specimen parameter measurement system or a specimen inspection system and is configured to mount on a front-opening interface mechanical standard (FIMS) load port and fits within the allowable spatial envelope. This invention further includes located external to the semiconductor specimen fabrication station a processor that receives and processes data acquired by the process diagnostic module during its operation.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: March 6, 2007
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Scott Ashkenaz, C. Thomas Larson
  • Patent number: 7160417
    Abstract: A cassette for holding substrate in a load-lock comprising an outer casing having a front surface with multiple slots and two sidewalls having holes at the bottom section thereof. Braces are set at the corner edges inside the outer casing and side plates are attached to the braces. Each slot has a set of side plates attached to the braces for holding a substrate. Obstruction pieces are also set inside the outer casing near the corresponding holes. Each obstruction pieces comprise a fixed part and an obstructing part. The fixed part attaches firmly to a bottom plate of the outer casing, and the obstructing part blocks the corresponding hole on the outer casing.
    Type: Grant
    Filed: January 6, 2004
    Date of Patent: January 9, 2007
    Assignee: Au Optronics Corporation
    Inventors: I-Tang Jiang, Yu-Ling Peng, Kuo-Shun Cheng
  • Patent number: 7134825
    Abstract: A device (10) for handling substrates (11) inside and outside a clean room (15) is provided with a locking transfer device (17), by which means a substrate cassette (12) that is accommodated in a box (13) in clean-room conditions can be removed from or placed in said box (13); and with a first handling device (51), by which means the substrates (11) can be placed in or removed from the cassette (12). According to the invention, a storage area (20) for a plurality of cassette boxes (13) is positioned on or above the clean room (15) and the locking transfer device (17) is provided between the storage area (20) and the clean room (15), so that various work and production steps can be combined in such a way as to save space.
    Type: Grant
    Filed: April 13, 2000
    Date of Patent: November 14, 2006
    Assignee: Brooks Automation, Inc.
    Inventors: Wolfgang Schmutz, Joseph Gentischer
  • Patent number: 7134826
    Abstract: A loader includes a receiving section for receiving a FOUP (front opening unified pod), an opener for transporting substrates out of the FOUP, and a transport robot for transporting the FOUP between the receiving section and the opener. When the opener transports the substrates out of the FOUP, the FOUP is placed on a holding table. The holding table is formed with a notch permitting a transport arm of the transport robot to pass through vertically. Thus, the downward passage of the transport arm with the FOUP held thereon through the notch allows the direct transfer of the FOUP from the transport arm to the holding table. This reduces the time required for the FOUP transfer operation, to provide a high throughput, thereby providing a substrate transfer apparatus capable of achieving a high throughput.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: November 14, 2006
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Ichiro Mitsuyoshi
  • Patent number: 7112027
    Abstract: A pod cover removing-installing apparatus can open and close any covers for a variety of pods made by a various manufactures, can satisfy an allowable distortion error capable of being normally operated even if conditions such as temperature, humidity, and can remove and install the cover without causing it to collide with any one of the parts of the pods. The pod cover removing-installing apparatus to remove and install a cover 203 for an opening 202 of a pod 200, of which the inside is kept extremely clean, by causing the cover to engage a door 13 for an opening 12 of a high cleanliness room, while maintaining high cleanliness of the pod and the room by closely attaching the circumference of the two openings. The apparatus is provided with positioning pins 14a, 14b for positioning the cover 13, a fine adjustment mechanism 20 for fine adjustment of the positioning pins 14a, 14b, and a holding mechanism 40 for holding the position of the cover 13 engaging the positioning pins 14a, 14b.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: September 26, 2006
    Assignee: Right Mfg. Co., Ltd.
    Inventor: Tatsuhiko Nagata
  • Patent number: 7102124
    Abstract: A multi-axial positioning system of unitary construction design selectively moves a port door along two transverse paths of travel toward and away from the aperture of a port plate. The positioning system includes a link carriage operatively connected to a drive mechanism that moves a drive carriage along a first travel path. A pivot link structure causes the link and drive carriages to move in unison for a distance along the first travel path. A guide prevents the link carriage from moving past a location along the first travel path, and, in response, the pivot link pivotally moves to cause the link carriage to move along a second travel path and thereby move the port door toward or away from the port plate aperture, depending on the direction of movement of the drive carriage along the first travel path relative to the link carriage.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: September 5, 2006
    Assignee: Newport Corporation
    Inventors: Paul Bacchi, Paul S. Filipski
  • Patent number: 7074000
    Abstract: A pod loading station includes a docking mechanism adapted to move a pod between a docked position and an undocked position, and a door opener adapted to unlatch and open a pod door from the pod. A controller is coupled to the docking mechanism and the door opener and is programmed to cause the pod to move from the docked position to the undocked position, and then to cause the pod to move back to the docked position so that it can be determined whether the pod door is properly closed prior to completing the removal of the pod from the pod loading station.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: July 11, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Eric A. Englhardt
  • Patent number: 7070379
    Abstract: A semiconductor fabrication apparatus, located in an apparatus installation area, includes a front-opening unified pod (FOUP) index, a plate, a first transfer device, a second transfer device, and an engineering FOUP index and multiple processing chambers. The FOUP index can be located in a line, vertically, horizontally, on top, and on bottom. The engineering FOUP index is used for manually locating the FOUP thereon in case of processing irregular single wafers that are not stored in a lot. As the FOUP index is located in the semiconductor fabrication apparatus installed in the apparatus installation area, dead space between the apparatus and air eddies generated above and below the FOUP index can be eliminated to increase the efficiency of working area and improve the environment in fabrication.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: July 4, 2006
    Assignee: Samsung Elctronics Co., Ltd.
    Inventor: Ki-Sang Kim
  • Patent number: 7048127
    Abstract: The present invention provides a lid unit for closing a container body used to transport thin-plates such as semiconductor wafers or the like accommodated therein. Simplified attaching/detaching mechanisms are provided at the centers of the respective sides of the lid unit. The attaching/detaching mechanism includes a locking plate, for locking within a second recess, a lid receiving portion of the container body and a drive-out member for raising and locking the locking plate. A locking arm for fixing the drive-out member is provided on its leading side in the direction of engaging rotation. A supporting rail for guiding the raising and locking/unlocking movement of the locking plate is provided on the drive-out member. The locking plate is mounted for pivoting and sliding movement, and is provided with a holding claw for engagement within a second recess at the distal end thereof.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: May 23, 2006
    Assignee: Kakizaki Manufacturing Co., Ltd.
    Inventors: Yukihiro Hyobu, Chiaki Matsutori, Tadahiro Obayashi
  • Patent number: 7048493
    Abstract: When a conventional semiconductor container opening/closing apparatus opens a lid of a semiconductor container, foreign particles enter into the container from outside through a gap between the container and a wall surface of the container opening/closing apparatus and adhere to a wafer in the container. A method is provided to reduce the number of foreign particles adhering to the wafer by preventing foreign particles from entering into the container at the time of opening the container by the opening/closing apparatus. To achieve this, a velocity-differential pressure ratio obtained by dividing the maximum velocity at the time of opening the lid of the container in a vertical direction to an opening of the container, by the differential pressure between the inside pressure and the outside pressure of said semiconductor manufacturing apparatus, is set to be 0.06 ((m/s) Pa) or less.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: May 23, 2006
    Assignees: Hitachi Plant Engineering & Construction Co. LTD, Renesas Technology Corp.
    Inventors: Yoshiaki Kobayashi, Shigeru Kobayashi, Kenji Tokunaga, Koji Kato, Teruo Minami
  • Patent number: 7021882
    Abstract: A drive-section-isolated FOUP opener opens and closes a door of a FOUP which contains a plurality of semiconductor wafers. The FOUP opener includes a dock plate for carrying and positioning the FOUP; a dock moving mechanism for moving the dock plate to a position for detachment/attachment of the FOUP door; a port door including a mechanism for releasably holding the FOUP door; a port plate including an opening closed by the port door; a port door horizontal-movement mechanism for horizontally moving the port door; a sensor horizontal-movement mechanism for horizontally moving a sensor bracket, the sensor bracket carrying a mapping sensor; and a port-door-and-sensor vertical-movement mechanism for vertically moving the port door and the sensor bracket with the port door holding the FOUP door.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: April 4, 2006
    Assignee: Hirata Corporation
    Inventor: Tetsunori Otaguro
  • Patent number: 7011483
    Abstract: A load port includes an adapter-detecting sensor arranged at an upper portion of an opener. When the opener opens a lid of a FOUP, the adapter-detecting sensor detects whether a wafer adapter is mounted in the FOUP, and notifies a control unit of a semiconductor manufacturing apparatus of the result of the detection. The control unit determines the size of semiconductor wafers based on the result of the detection and carries out processing operation dependent on the size of the wafers in a processing chamber.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: March 14, 2006
    Assignee: Fujitsu Limited
    Inventors: Yasutaka Ogawa, Shigenori Kawase
  • Patent number: 7001129
    Abstract: Sealing structure for use in creating a seal between an elevator drive shaft and a loadlock chamber includes a base fastened over a hole in the bottom wall of the loadlock chamber, a fixed member fastened to an upper part of the base, a seal housing spaced above the fixed member, a cap in the form of a flexible bellows having a first end adhered to an upper surface of the fixed member and a second end adhered to a lower surface of the seal housing, one or more support shafts having upper and lower ends pivotally connected to the seal housing and the fixed member outside the cap, a seal seated in the seal housing and having an inner circumferential surface contacting the outer circumferential surface of the elevator drive shaft, and a seal cover fixed to an upper part of the seal housing. According to the present invention, uniform pressure is maintained between the shaft and the seal during assembly and operation of the apparatus.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: February 21, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Ho Kim
  • Patent number: 6997664
    Abstract: The present invention proposes an apparatus for loading and unloading wafers to and from the semiconductor fabrication equipment. The present invention uses two U-shaped port plate supporters of high rigidity to respectively join with drive devices such as lead screws, shaft bearings, and a lead device, and then join with components such as a port plate, a port door, and a base. The assembly is driven by a motor via timing pulleys, timing belts, idle wheels, a pair of lead screws, shaft bearings, and a lead device. An encoder is matched for feedback control. Thereby, accurate positioning of the main mechanism of the wafer pod responsible for upward and downward movement can be achieved so as to increase the accuracy and reliability of positioning transfer of wafers. Secondarily, the contamination of particles resulted from the motion of the main mechanism can be reduced by using an intake filtering system.
    Type: Grant
    Filed: July 19, 2000
    Date of Patent: February 14, 2006
    Assignee: Industrial Technology Research Institute
    Inventors: Muh-Wang Liang, Chun-Kai Huang, Jiann-Cherng Chen, Tzong-Ming Wu, Ping-Yu Hu, Kuan-Chou Chen
  • Patent number: 6984097
    Abstract: An attaching and removing unit of a lid for a wafer carrier according to the invention includes: a lid holding plate that can move forward and backward relatively to a lid for a wafer carrier provided with a lock unit having a keyhole exposed outside, on a side of the keyhole; a driver for causing the lid holding plate to move forward and backward; and a key element protruding from the lid holding plate on a side of the lid in a pivotable manner, the key element disposed opposite the keyhole in a direction of the forward and backward movement. The lock unit is adapted to be locked and unlocked by the key element pivoting in the keyhole. In a locked state, the key element can be inserted into and released from the keyhole, and in an unlocked state, the key element is engaged with and can not be released from the keyhole, while the lid holding plate holds the lid. The lid holding plate is provided with a lid-detecting unit for detecting whether the lid holding plate is holding the lid or not.
    Type: Grant
    Filed: June 28, 2000
    Date of Patent: January 10, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Saeki, Yoshiaki Sasaki, Keiichi Matsushima, Yasushi Taniyama, Shuuji Hagiwara
  • Patent number: 6962472
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: November 8, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6962471
    Abstract: The invention is based on a substrate conveying module (1) for conveying substrates into a workstation (3) for inspection, measurement, or processing of the substrates, in which on because of connecting elements (4a, b) in at least two side walls (1a, b, c) of the substrate conveying module (1) and/or in at least two side walls (3a, b, c, d) of the workstation (3), the substrate conveying module (1) can, at the installation location of the workstation (3), be flexibly connected thereto in different orientations with respect to the workstation (3) and/or can be coupled at different points to the workstation (3).
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: November 8, 2005
    Assignee: Leica Microsystems Jena GmbH
    Inventors: Andreas Birkner, Knut Hiltawski, Karsten Urban, Joachim Wienecke
  • Patent number: 6945405
    Abstract: A wafer container has an open front defined by a frame for receiving a door. The frame has slots on opposite sides. The door utilizes two latching linkages that extend, lift, lower and retract two latching portions from the edge portion of each opposite side of the door and into and out of latch receptacles on the frame. Each latching mechanism utilizes a sliding plate with a handle connected thereto and exposed on the front of the door. The sliding plate has a pair of lifting linkages cooperating with a pair of latching linkages. Moving the handles outwardly extends the latching portions into the latching receptacles, then pulls the door inwardly to seal the door to the container portion. The sliding plate includes a rack portion engaged with a pinion accessible from the front of the door by a latch key whereby the mechanism can be operated robotically.
    Type: Grant
    Filed: July 6, 2000
    Date of Patent: September 20, 2005
    Assignee: Entegris, Inc.
    Inventors: Gregory Bores, Michael C. Zabka
  • Patent number: 6926029
    Abstract: A container for storing substrates capable of shortening the cycle time of the production, improving the production efficiency and reducing the production cost is provided. The container for storing substrates is composed of a box for accommodating the substrates, and a closure member for sealingly closing the box by tightly fixing the closure member to the opening of the box. The container for storing substrates is provided with means for temporarily storing a sealing gas and introducing the sealing gas into the box. Also, the container for storing substrates is provided with means for means for temporarily forming a low pressure space for the purpose of evacuating the gas inside of the box by transferring the gas to the low pressure space.
    Type: Grant
    Filed: December 8, 2004
    Date of Patent: August 9, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kiyotaka Inoue, Terumi Muguruma, Yuichi Kuroda, Noriaki Yoshikawa
  • Patent number: 6901971
    Abstract: A system is disclosed allowing non-invasive, continuous local and remote sensing of the internal environmental characteristics of transportable containers. The system utilizes a variety of sensors inside the container to sense internal environmental conditions.
    Type: Grant
    Filed: January 8, 2002
    Date of Patent: June 7, 2005
    Assignee: Entegris, Inc.
    Inventors: Jerry A. Speasl, Edward Dante
  • Patent number: 6900878
    Abstract: Reticle-holding devices (reticle “pods”) are disclosed for holding circular reticles as used microlithography systems that use circular reticles. An exemplary reticle pod includes a base and cover. Mounted to the base are multiple (desirably three) reticle-support blocks providing three respective, equally spaced, reticle-contact surfaces that support a reticle in the peripheral “handling zone” of the reticle. Mounted to the inside surface of the cover are corresponding compliant pressure-application members (desirably respective flat springs terminating with respective reticle-contact members) that apply a holding force to the reticle. A respective portion of the reticle is situated between each pressure-application member and a respective reticle-support surface. Thus, the reticle, configured as a SEMI standard wafer, is stably held at three points in the handling zone of the reticle without damaging the reticle.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: May 31, 2005
    Assignee: Nikon Corporation
    Inventors: Yukiharu Okubo, Hidekazu Kikuchi
  • Patent number: 6896470
    Abstract: The invention includes mainly a machine base, a carrier, a sliding control mechanism, a latch mechanism, a horizontal shifting mechanism, and a lifting mechanism. The FOUP (front-opening unified pod) is put on the carrier and latched by a locking plate of the latch at an accurate position. The carrier moves forwardly to tightly engage the FOUP to a gate on an access at a backboard of the machine base, and thus a cover of the FOUP is opened by a headstock gear at the back of the gate then carried backwardly away from the FOUP by the horizontal shifting mechanism and lowered by the lifting mechanism. Reversely, the cover is closed on the FOUP. As such, the cover is loaded and opened automatically, as well as in closed, which can be a part of automation and prevents wafers from contamination.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: May 24, 2005
    Assignee: Industrial Technology Research Institute
    Inventors: Kuan-Chou Chen, Ping-Yu Hu, Kuei-Jung Chen, Tzong-Ming Wu, Wu-Lang Lin, Wen-Yo Lee
  • Patent number: 6883539
    Abstract: A container for storing substrates capable of shortening the cycle time of the production, improving the production efficiency and reducing the production cost is provided. The container for storing substrates is composed of a box for accommodating the substrates, and a closure member for sealingly closing the box by tightly fixing the closure member to the opening of the box. The container for storing substrates is provided with means for temporarily storing a sealing gas and introducing the sealing gas into the box. Also, the container for storing substrates is provided with means for means for temporarily forming a low pressure space for the purpose of evacuating the gas inside of the box by transferring the gas to the low pressure space.
    Type: Grant
    Filed: September 24, 2003
    Date of Patent: April 26, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kiyotaka Inoue, Terumi Muguruma, Yuichi Kuroda, Noriaki Yoshikawa
  • Patent number: 6881020
    Abstract: A pod transfer system having a retractable mast and a rotatable and vertically movable hoist is disclosed. A first extendable robot is situated under a first overhead transfer (OHT) unit, and a second extendable robot is situated under the second OHT unit. Each extendable robot has a fully retracted position for loading a pod from its respective OHT unit, as well as a fully extended position. The retractable mast is situated between a first conveyer and a second conveyer that are themselves situated between the OHT units. The retractable mast has a default fully extended position and a tool move-in fully retracted position. The hoist is situated on the retractable mast. The hoist is able to load the pod from either robot when the robot is in its fully extended position, and is able to load the pod to one of the conveyors.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: April 19, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Cheng-Chang Chang, Chien-Jung Huang
  • Patent number: 6869263
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, apparatus for removing a door of a substrate magazine and thus opening the substrate magazine, and for operating the aperture closure to open the aperture, and an elevator for precisely positioning the open substrate magazine along a vertical axis within a usable range of motion. The station may also include a sensor for mapping locations of the substrates, and a mini-environment for interfacing the station to a substrate processing system.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: March 22, 2005
    Assignee: Brooks Automation, Inc.
    Inventor: Ulysses Gilchrist
  • Patent number: 6848882
    Abstract: An apparatus and a method for positioning a cassette pod onto a loadport by an overhead hoist transport system are described. The apparatus includes a vertical front panel of a process machine equipped with a docking opening therein, a loadport stage extending horizontally from the vertical front panel, a loadport situated on the loadport stage, at least two back guiding plates situated on the back of the loadport, at least two side guiding plates with one situated on each side of the loadport, a front guiding plate situated on the front side of the loadport, and a clamp positioner for guiding the position of an OHT clamp during an unloading operation of the cassette pod. The two halves of the clamp positioner move sideways away from each other to allow the cassette pod to pass therethrough during a loading operation.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: February 1, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Ho-Jen Chen, Fan-Lin Lu, Ko-Chin Chung, Yu-Tan Tseng
  • Patent number: 6848876
    Abstract: A reticle management system is disclosed including a sorter coupled to one or more stockers that allow a customized configuration of the overall reticle management system. The stockers may be bare reticle stockers, closed container reticle stockers, or both. In embodiments of the present invention, the reticle management system includes between one and six individual bare reticle stockers and/or closed container stockers for storing reticles affixed to a reticle sorter. The sorter includes a reticle inspection station for inspecting the reticles, a plurality of input/output (I/O) load ports and a reticle handling robot for transferring the reticles between the stockers, the inspection station and the I/O load ports. The management system further includes a control unit for housing control electronics for the system.
    Type: Grant
    Filed: January 12, 2001
    Date of Patent: February 1, 2005
    Assignee: Asyst Technologies, Inc.
    Inventors: Daniel J. Babbs, William J. Fosnight, Tim Cosentino, Mark Sammut, Pascal Pinna, Russell Zemen
  • Publication number: 20040258505
    Abstract: A front-end module exchanges semiconductor wafers between a pod and a semiconductor processing tool to which the front-end module is secured. The front-end module includes a robot arm for exchanging semiconductor wafers, either individually or in a wafer carrier, between the pod and the semiconductor processing tool. The front-end module also includes at least one load-port-interface frame having a platform which receives and supports a pod opener. The load-port-interface frame is adjustable with respect to the robot arm for aligning thereto a pod opener received on the platform thereof. By adjusting the platform, a pod opener supported on the platform of the load-port-interface frame may be accurately located with respect to the robot arm.
    Type: Application
    Filed: June 4, 2004
    Publication date: December 23, 2004
    Inventor: Kung Chris Wu
  • Patent number: 6830651
    Abstract: A load port which can selectively receive plural types of cassette having substrate which are to be processed accommodated therein is disclosed. The load port has the following constituents. That is, the load port includes a main body, an opening portion (which has a configuration that opens in the three surfaces) formed in one side surface of the main body, a placement table formed in the opening portion and used to place the cassette thereon, a first sensor mechanism (which identifies the type of the cassette) provided on the placement table, plural types of clamp mechanism (each type of the clamp mechanism clamping the corresponding type of cassette) provided on the placement table, and an up-down-type cover mechanism (which is vertically moved) which covers the opening portion of the main body.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: December 14, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Obikane
  • Patent number: 6824344
    Abstract: A drive-section-isolated FOUP opener opens and closes a door of a FOUP which contains a plurality of semiconductor wafers. The FOUP opener includes a dock plate for carrying and positioning the FOUP; a dock moving mechanism for moving the dock plate to a position for detachment/attachment of the FOUP door; a port door including a mechanism for releasably holding the FOUP door; a port plate including an opening closed by the port door; a port door horizontal-movement mechanism for horizontally moving the port door; a sensor horizontal-movement mechanism for horizontally moving a sensor bracket, the sensor bracket carrying a mapping sensor; and a port-door-and-sensor vertical-movement mechanism for vertically moving the port door and the sensor bracket with the port door holding the FOUP door.
    Type: Grant
    Filed: October 16, 2001
    Date of Patent: November 30, 2004
    Assignee: Hirata Corporation
    Inventor: Tetsunori Otaguro
  • Patent number: 6811369
    Abstract: In a semiconductor fabrication apparatus, a pod that receives a single substrate using a substrate supporting table and a lid member in a sealing state is loaded from outside of a chamber and the pod is opened within the chamber so as to enable the substrate received in the pod to be held and managed. The semiconductor fabrication apparatus includes a first pod conveyance device that transfers the pod from a pod loading position to a pod opening position, a pod opening device that causes the lid member of the pod transferred by said first pod conveyance device to be left outside the chamber and causes the supporting table to be separated into the chamber from the pod. A substrate storage rack provided within the chamber stores a plurality of substrates, and a substrate carrying device provided within the chamber takes out the substrate on the substrate supporting table and puts the substrate into the substrate storage rack.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: November 2, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kohei Yamada
  • Patent number: 6808352
    Abstract: A substrate container having substrates stored therein and sealed with a door is placed onto a load port apparatus provided on a substrate processing system, and a door of the load port apparatus is docked with the door of the substrate container. An inside of the substrate container is pressurized before opening of the door of the substrate container before the door of the substrate container is opened and the substrates stored in the substrate container is transported to the substrate processing system.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: October 26, 2004
    Assignee: Semiconductor Leading Edge Technolgies, Inc.
    Inventor: Hisaharu Seita
  • Patent number: 6799932
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: October 5, 2004
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6796763
    Abstract: A clean box is composed of a box body having an opening in one surface thereof and a lid member for closing the opening. An annular groove is formed so as to surround the opening on one of the box body or the lid member for defining a suction space sealed between the lid member and the box body under the condition that the lid member is mounted on the box body. Furthermore, intake/exhaust ports are provided for vacuum exhaust/release from the outside.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: September 28, 2004
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Tsutomu Okabe
  • Publication number: 20040158348
    Abstract: A reticle storage system includes a reticle rack having a series of lateral slots, each for storing a reticle. Access to the reticles is provided on a lateral side of the rack. The enclosure has a series of doors for providing access to the reticles in the slots. An air circulation system flows filtered air past the reticles in the rack to prevent contaminants from accumulating on the reticles. The air circulation system is capable of providing positive air pressure within the enclosure with one door open, thereby preventing contaminants from entering the enclosure through the open door.
    Type: Application
    Filed: February 9, 2004
    Publication date: August 12, 2004
    Applicant: R. Foulke Development Company, LLC
    Inventors: Richard F. Foulke, Richard F. Foulke, Cord W. Ohlenbusch, Takman Lui
  • Patent number: 6764265
    Abstract: Embodiments of the present invention provide structures for reducing erosion of a slit valve utilized in the fabrication of semiconductor devices. Specifically, non-metallic slit valve components such as a compressible sealing member and a barrier that assist in sealing the valve closure against the slit valve seat, are positioned on the valve seat rather than on the valve closure. This orientation removes the seal and the seal barrier from the direct line of sight of the plasma within the processing chamber, reducing exposure of the sealing member and seal barrier slit valve components to erosion and thereby extending the lifetime of the valve.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: July 20, 2004
    Assignee: Applied Materials Inc.
    Inventors: Charles S. Kunze, Andrew V. Le, Muhammad Rasheed
  • Publication number: 20040120797
    Abstract: A system for eliminating wafer protrusion includes one or more shelves stacked vertically in a stocker system. The system also includes one or more cassettes disposed on the shelves. The shelves support the one or more cassettes such that the cassettes are stacked vertically in the stocker system. Each cassette stores a plurality of wafers. One or more sensors are associated with the one or more cassettes. A wafer press is activated by the one or more sensors associated with the one or more cassettes. The wafer press is operable to apply a force to reposition one or more wafers protruding from the one or more cassettes.
    Type: Application
    Filed: December 19, 2002
    Publication date: June 24, 2004
    Applicant: Texas Instruments Incorpprated
    Inventors: Scott David Paul, Mark Edgar Montgomery, Mark Steven Johnson
  • Patent number: 6752580
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: June 22, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6752579
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: June 22, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Publication number: 20040101385
    Abstract: A semiconductor process apparatus and a SMIF pod used therein. The semiconductor process apparatus comprises a first support, a second support, and a SMIF pod. The first support includes a first pin and a first rotating device, and the first pin is rotated by the first rotating device in a first direction. The second support includes a second pin and a second rotating device, and the second pin is rotated by the second rotating device in a second direction opposite the first direction. The SMIF pod includes a base and a cover. The base includes a slot and an engaging member, and the cover defines a hole for the engaging member to be inserted into. The first pin is inserted into the slot when the SMIF pod is disposed on the first support, and the slot is rotated by the first pin in the first direction so that the engaging member is withdrawn from the hole.
    Type: Application
    Filed: November 25, 2002
    Publication date: May 27, 2004
    Inventors: Ta-Kuang Chang, Chin-Lung Wu, Tsang-Jung Lin, Lee-Zen Chen, Chin-Tsung Chen
  • Patent number: 6739820
    Abstract: Within both a stocker apparatus and a method for operating the stocker apparatus there is employed: (1) a minimum of six input/output ports; (2) an array of storage locations for storing an array of work in process (WIP) product units; and (3) a random access transportation means for transporting a work in process (WIP) product unit at least bidirectionally between the minimum of six input/output ports and a storage location within the array of storage locations. Within the stocker apparatus and the method, the minimum of six input/output ports provides for more efficient operation of the stocker apparatus.
    Type: Grant
    Filed: January 16, 2001
    Date of Patent: May 25, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yu-Yen Chang, Kuo-Chen Lin