For Carrying Standarized Mechanical Interface (smif) Type Patents (Class 414/217.1)
  • Publication number: 20020114684
    Abstract: A load port of a semiconductor manufacturing apparatus includes a plurality of kinematic coupling pins and a plurality of sensors integrated with the pins. The contacts of the sensors have upper portions that protrude from the pins. Thus, when a cassette is place on the load port, the sensors can reliably sense whether the cassette is resting properly and/or can determine whether the cassette contains wafers. Once such determinations are made in the positive, a command signal is issued that serves to load the wafers into a chamber of the manufacturing apparatus.
    Type: Application
    Filed: February 21, 2002
    Publication date: August 22, 2002
    Inventors: Gyu-Chan Jeong, Ki-Sang Kim
  • Patent number: 6431806
    Abstract: An adapter device is placed on a movable plate of a base of an interface device to position a carrier pod at a preset height enabling a standard interfacing system with equipment machines to be used. A side wall of the adapter device comprises a guiding aperture enabling the carrier pod to pass to bring the first door and its lock into alignment with a front support plane of the side wall. A controller is provided to couple the first door with a second door and to unlock the first door when the side wall accosts against a front face of the interface device.
    Type: Grant
    Filed: May 26, 1999
    Date of Patent: August 13, 2002
    Assignee: Incam Solutions
    Inventor: Claude Doche
  • Patent number: 6428262
    Abstract: The system processes one or more wafers from a FOUP to an ion processing chamber. A group of wafers from the FOUP is removed by a first end effector and loaded into a load lock through a lower door in an atmosphere opened position. The load lock is sealed, evacuated, and an upper door is opened to a vacuum opened position. A second end effector connected to a 3-axis robot moves one of the wafers from the load lock to the ion processing chamber. A wafer alignment robot can also be used. Wafers are sequentially processed from the load lock to the processing chamber until complete; and then the wafers within the load lock are sealed, pressurized, and moved back to the FOUP. A second load lock, and multiple FOUPs, are used to increase throughput.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: August 6, 2002
    Assignee: Proteros, LLC
    Inventors: John W. Vanderpot, John D. Pollock
  • Patent number: 6425722
    Abstract: A substrate transfer system comprising a cassette table for mounting a cassette which has an opening portion for loading and unloading a substrate and a cover detachably provided to the opening portion, process portion for processing the substrate housed in a cassette on the cassette table, a transfer arm mechanism for taking out the substrate from the cassette table, transferring it to process units G1 to G5, and returning a processed substrate to the cassette on the cassette table, partition members provided between the transfer arm mechanism and the cassette table, for separating an atmosphere on the side of the transfer arm mechanism from that on the side of the cassette table, a passage formed in the partition member so as to face the opening portion of the cassette on the cassette table, for passing the substrate taken out from the cassette on the cassette table by the transfer arm mechanism and returning the substrate to the cassette on the cassette table, cassette moving mechanisms for moving the open
    Type: Grant
    Filed: March 8, 2000
    Date of Patent: July 30, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Masami Akimoto, Kazuhiko Ito, Mitiaki Matsushita, Masatoshi Kaneda, Yuji Matsuyama
  • Patent number: 6419438
    Abstract: A front opening interface mechanical standard, or “FIMS”, system is disclosed for ensuring proper registration of a pod door against a port door on a load port assembly without the use of guide pins on the port door. In a preferred embodiment, the load port assembly includes kinematic pins provided to mate within slots on the bottom of a FOUP to provide a fixed and repeatable position of the FOUP on the load port assembly. The load port assembly further includes a pair of latch keys protruding outwardly from the outer surface of the port door for mating within slots of a door latch assembly within the pod door. The load port assembly may further include vacuum seals on the port door, or magnetic assemblies, to further facilitate support of the pod door on the port door. In one embodiment, with the above constraints, the load port assembly may initially include removable alignment pins.
    Type: Grant
    Filed: November 28, 2000
    Date of Patent: July 16, 2002
    Assignee: Asyst Technologies, Inc.
    Inventor: Frederick T. Rosenquist
  • Patent number: 6409448
    Abstract: A load port module is mounted adjacent a process tool for loading semiconductor wafers to the process tool and unloading them from the process tool. The module includes a mounting frame having a charging opening therein for entry into the process tool, a platform having an upper surface for supportively receiving a cassette containing the semiconductor wafers to be passed though the charging opening into the process tool, a selectively operable closure movable between a first position withdrawn from the charging opening allowing the passage therethrough of the semiconductor wafers and a second position overlying the charging opening preventing the passage therethrough of the semiconductor wafers, and a shroud movable between a retracted position fully exposing the platform and its associated cassette and an advanced position fully encompassing the platform and the cassette adjacent the process tool. The shroud serves as a barrier against the passage of particulate matter into the process tool.
    Type: Grant
    Filed: April 3, 2000
    Date of Patent: June 25, 2002
    Assignee: Brooks Automation Inc.
    Inventor: Glenn L Sindledecker
  • Patent number: 6398475
    Abstract: A container for receiving and transporting dust free articles which is opened and closed by inserting a positioning pin and a key in an opener mechanism into a positioning hole and a key hole provided in a cover, with a tapered surface formed on an upper inner surface of the positioning hole, and with a floating mechanism disposed in the key hole. According to the above container, the positioning pin and key are easily inserted, and the cover is smoothly closed.
    Type: Grant
    Filed: July 28, 2001
    Date of Patent: June 4, 2002
    Assignee: Dainichi Shoji Kabushiki Kaisha
    Inventor: Toshio Ishikawa
  • Publication number: 20020064439
    Abstract: A drive-section-isolated FOUP opener opens and closes a FOUP door which closes a front opening portion of a FOUP which contains a plurality of semiconductor wafers. The FOUP opener includes a dock plate for carrying and positioning the FOUP; a dock moving mechanism for moving the dock plate to a position for detachment/attachment of the FOUP door; a port door including a detachment/attachment mechanism for detaching/attaching the FOUP door and a holder mechanism for holding the FOUP door; a port plate including an opening portion, the opening portion being closed by the port door; a port door horizontal-movement mechanism for horizontally moving the port door; a sensor horizontal-movement mechanism for horizontally moving a sensor bracket, the sensor bracket having a mapping sensor mounted on an upper portion thereof; and a port-door-and-sensor vertical-movement mechanism for vertically moving the port door and the sensor bracket with the port door holding the FOUP door, so as to house the FOUP door.
    Type: Application
    Filed: October 16, 2001
    Publication date: May 30, 2002
    Applicant: HIRATA CORPORATION
    Inventor: Tetsunori Otaguro
  • Patent number: 6390755
    Abstract: In a single cleanroom (200) for first (230) and second (240) wafer processing machines, an exhaust device (260) surrounds the second machine (240) that temporarily produces exhaust gas (250) which is detrimental to the processes in the first machine (230). Additionally to a first directed air flow (220) available in the cleanroom (200), the exhaust device (260) generates—in the proximity of gas leakage openings of the second machine (240)—a second air flow (270) that prevents the exhaust gas (250) from further being moved by the first air flow (220) to the first machine (230).
    Type: Grant
    Filed: April 6, 2000
    Date of Patent: May 21, 2002
    Assignee: Motorola, Inc.
    Inventors: Leroy Grant, Gunter Hraschan
  • Patent number: 6382896
    Abstract: A front-opening unified pod closing/opening control structure includes a transmission motor controlled to rotate a transmission shaft, causing the transmission shaft to rotate two worm gear sets through two worms, each worm gear set including a worm gear meshed with one worm, a radially extended locating plate fixedly fastened to the worm gear, and a gasket member having a rectangular center hole, two actuating bolts respectively fitted into the rectangular center hole and secured to the worm gear sets by a respective nut, keeping the locating plates of the worm gear sets maintained at right angles, two first stop pins adapted to stop the locating plates of the worm gear sets in a first position where the actuating bolts close the cover of the FOUP, and two second stop pins adapted to stop the locating plates of the worm gear sets in a second position where the actuating bolts open the cover of the FOUP.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: May 7, 2002
    Assignee: Industrial Technology Research Institute
    Inventors: Ping-Yu Hu, Kuan-Chou Chen, Tzong-Ming Wu, Wu-Lang Lin
  • Publication number: 20020051699
    Abstract: A processor has a door system for opening and closing a process chamber. The door system includes a closure plate on an actuator supported by a mounting plate. The actuator moves the closure plate into engagement with an open front end of the chamber, to seal the chamber. Lift actuators raise and lower the mounting plate carrying the closure plate between a loading position and a chamber engagement position. The mounting plate has a center section supporting the closure plate actuator, and narrower legs extending from the center section to the lift actuators. A separate door cover is attached to the mounting plate. Visual inspection of the alignment of the closure plate and chamber is improved, better facilitating manufacture and maintenance of the processor. The lift actuators preferably have a piston within a cylinder magnetically coupled to the mounting plate, to reduce or eliminate leakage from the cylinders.
    Type: Application
    Filed: July 13, 2001
    Publication date: May 2, 2002
    Inventors: Gordon Nelson, Jeffry A. Davis
  • Publication number: 20020051700
    Abstract: An automated workpiece processing system has a transfer robot including an end effector having arms which move linearly towards each other to pick up a workpiece. Each arm has two workpiece contactors for engaging the edges of the workpiece. The contactors are positioned equally distant from the workpiece edges. The arms are moved linearly together, while they remain parallel to each other. The contactors contact the edges of the workpiece without causing sliding or displacement of the workpiece. Transfer robot movement or pre-positioning of the end effector is minimized, expediting handling of workpieces within the automated system.
    Type: Application
    Filed: July 16, 2001
    Publication date: May 2, 2002
    Applicant: Semitool Inc.
    Inventor: Jeffry Davis
  • Patent number: 6364593
    Abstract: A system for loading and unloading semiconductor wafers includes a frame having a charging opening, a platform mounted on the frame and movable between a deployed position and a retracted position, and a movable closure for the charging opening for opening and closing a horizontal path through the charging opening. In the deployed position, the platform has a generally level orientation adjacent the charging opening and projects away from the frame for receiving thereon a cassette adapted to support therein a plurality of the semiconductor wafers to be passed though the charging opening. In one embodiment, the platform is elevationally movable on the frame between a retracted lowered position distant from the charging opening and a deployed raised position adjacent the charging opening.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: April 2, 2002
    Assignee: Brooks Automation
    Inventors: Christopher Hofmeister, Glenn L Sindledecker
  • Patent number: 6338604
    Abstract: A latch mechanism for latching a lid of a clean box having a box body opening at one surface and a lid for closing the opening includes a latch member pivotal about a shaft mounted on the box body, a latch engagement portion provided on the lid for engaging with the latch member in its predetermined pivotal position, and a biasing member for biasing the latch member toward the latch engagement portion. A guide surface is provided in a portion, facing outwardly, of the opening of the box body of the latch member. When the clean box is installed on a load port, the guide surface is brought into a pressing contact with the latch guide provided in the load port so that the latch member pivots to release the latch of the lid.
    Type: Grant
    Filed: April 27, 2000
    Date of Patent: January 15, 2002
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 6283692
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall above a cassette docking station, and a cassette mover to carry a cassette between the shelves and the docking station. An interstation transfer apparatus includes an overhead support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Grant
    Filed: December 1, 1998
    Date of Patent: September 4, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Evgueni Gantvarg, Victor Belitsky
  • Patent number: 6273664
    Abstract: A coupling system for the transfer of a flat object from a confinement box towards a processing unit for processing the flat object. The coupling system includes a first shutter, at least one second opening, a second shutter, and a third shutter associated with the second opening on a side facing the processing unit, and devices for releasing the first, second and third shutter, after coupling the transfer opening of the confinement box with the transfer opening of the processing unit, in order to allow the transfer of the object between the confinement box and the processing unit.
    Type: Grant
    Filed: April 12, 1999
    Date of Patent: August 14, 2001
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Claude Doche
  • Patent number: 6257827
    Abstract: A method and apparatus for substrate processing at lower cost than existing processing systems are disclosed, which by implementing an arrangement using load locks of smaller dimensions or of a non-indexing type, as compared to existing large dimension or indexing load locks, along with a substrate loading and unloading technique can achieve the fast throughput of existing systems while reducing the size and cost of the load lock apparatus required. A processed substrate is returned by an internal robot from one of its processing modules to the shelf or slot in the small load lock from which the last substrate was removed for processing by the robot, rather than being returned to the original source shelf or slot from which it was removed for processing, as in the prior art. Also venting for a first one of the load locks is started as soon as the second load lock becomes the substrate source for the internal robot rather than waiting until the first load lock has been refilled with processed substrates.
    Type: Grant
    Filed: June 2, 1998
    Date of Patent: July 10, 2001
    Assignee: Brooks Automation Inc.
    Inventors: Ruth Ann Hendrickson, Peter F. Van der Meulen
  • Patent number: 6250869
    Abstract: A functional load lock apparatus having two or more load lock chambers mounted on a central chamber which can be mounted on a single opening in a vacuum chamber such as a substrate processing platform for making integrated circuits on silicon wafers. Each load lock chamber preferably has a semi-cylindrical valve which remains sealed when the load lock chamber is open to atmospheric pressure. A wafer cassette holder positioned within each load lock chamber can be loaded and unloaded while the semi-cylindcical valves seal the vacuum chamber from atmospheric pressure. The semi-cylindrical valve pivots to an open position when the load lock chamber is under vacuum and the entire wafer cassette moves from the load lock chamber to the central chamber.
    Type: Grant
    Filed: July 9, 1999
    Date of Patent: June 26, 2001
    Assignee: Applied Materials, Inc.
    Inventor: Tony R. Kroeker
  • Patent number: 6244812
    Abstract: An automated door removal and replacement system utilizes a combination of linear and rotational drive to remove a door of a wafer supporting device and store the door below the device. In one embodiment, the wafer-supporting device is a Front Opening Unified Pod (FOUP). A door-contacting assembly is pivotally mounted to include a horizontal rest position and a vertical unlocking position. In the horizontal rest position, the assembly resides below the wafer-supporting device. The assembly is rotated to a vertical position and then linearly moved to engage the door. Keys of the assembly are manipulated to release the door. The assembly and the door are moved rearwardly and the assembly is pivoted to the rest position, clearing the opening to the wafer-supporting device.
    Type: Grant
    Filed: July 8, 1999
    Date of Patent: June 12, 2001
    Assignee: H-Square Corporation
    Inventors: Jesse Patterson, Charles Thomas Dill
  • Patent number: 6193459
    Abstract: A system includes an interface for receiving a pod having a carrier that receives wafers, and that is initially enclosed within a base and a pod cover. The system also includes a mechanism that transfers an exposed carrier between the interface and a platform of a mass-transfer machine included in the system. The machine includes a gantry arm for transferring the carrier between the platform and a transfer station. A retainer assembly is positionable over the carrier at the transfer station, and over a process carrier that is used in a processing tool. Moveable retainers of the assembly receive and hold wafers. The machine includes an elevator that moves between the transfer station and the process carrier. The elevator extends and retracts for transferring wafers between the retainers and either the carrier or the process carrier. A turntable, that receives the process carrier, permits automatically reorienting wafers.
    Type: Grant
    Filed: March 12, 1999
    Date of Patent: February 27, 2001
    Assignee: Fortrend Engineering Corp.
    Inventor: John M. Rush